当前位置: 首页 > news >正文

如何扫描一个网站的漏洞东莞百域网站建设公司

如何扫描一个网站的漏洞,东莞百域网站建设公司,网页制作教程图解,南通快速建站公司topic overview 不建议的方法#xff1a;假如没有TLM TLM TLM 1.0 整个TLM机制下#xff0c;底层逻辑离不开动作发起者和被动接受者这个底层的模型基础#xff0c;但实际上#xff0c;在验证环境中#xff0c;任何一个组件#xff0c;都有可能成为动作的发起者#xff0…topic overview 不建议的方法假如没有TLM TLM TLM 1.0 整个TLM机制下底层逻辑离不开动作发起者和被动接受者这个底层的模型基础但实际上在验证环境中任何一个组件都有可能成为动作的发起者都有可能主动发起命令且只有掌握主动权才能更灵活的控制数据的流通因此TLM机制下实际用的最多的组件也就是基于FIFO的数据通信。 端对端的fifo模式 主要的连接图如上 monitor里面声明put port端口。 reference model 里面声明get port端口。 monitor在agent里面将agent的export端口与monitor的put port端口连接。 在env里将agent 的export与fifo相连接将reference model的port与fifo相连接。 class my_monitor extends uvm_component;uvm_component_utils(my_monitor)uvm_blocking_put_port #(my_transaction, my_monitor) m2r_port;//monitor里面声明put port端口function new(string name ,uvm_component parent);super.name(name, parent)this.m2r_port new(m2r_port,this);endfunctiontask run_phase(uvm_phase phase);super.run_phase(phase);repeat(10) beginreq seq_item::type_id::create(req);assert(req.randomize());m2r_port.put(req);uvm_info(get_name(), $sformatf(Send value %0h, req.value), UVM_NONE);#5;endendtask endclassclass my_reference_model extends uvm_component;uvm_component_utils(my_reference_model)uvm_blocking_get_port #(my_transaction) m2r_port;//reference model 里面声明get port端口function new(string name ,uvm_component parent);super.name(name, parent)this.m2r_port new(m2r_port,this);endfunctionvirtual task run_phase (my_transaction tr);uvm_info(get_name(), begin to get data from monitor,UVM_LOW)forever beginm2r_port.get(item);uvm_info(get_name(),(master agent have been sent a transaction:\n,item.sprint()),UVM_LOW)endendtask endclassclass master_agent extends uvm_agent;//monitor在agent里面将agent的export端口与monitor的put port端口连接uvm_component_utils(master_agent)uvm_blocking_put_export #(my_transaction) m_a2r_export;my_monitor my_mon;function new(string name ,uvm_component parent);super.name(name, parent)this.m_a2r_export new(m_a2r_export,this);endfunctionvirtual function void build_phase(uvm_phase phase);super.build_phase(phase);my_mon my_monitor::type_id::create(my_monitor, this);endfunction: build_phasevirtual function void connect_phase (uvm_phase phase);if(is_active UVM_ACTIVE);my_mon.m2r_port.connect(this.m_a2r_export);uvm_info(get_name(), Monitor has been connect with agent,UVM_LOW)endfunction endclassclass my_env extends uvm_env;//在env里将agent 的export与fifo相连接将reference model的port与fifo相连接uvm_component_utils(my_env)my_reference_model my_model;master_agent my_agent;uvm_tlm_analysis_fifo # (my_transaction) agt2ref_mod_fifo function new(string name ,uvm_component parent);super.name(name, parent)this.agt2ref_mod_fifo new(agt2ref_mod_fifo,this);endfunctionvirtual function void build_phase(uvm_phase phase);super.build_phase(phase);my_model my_reference_model::type_id::create(my_model, this);my_agent master_agent::type_id::create(my_agent, this);endfunction: build_phasevirtual function void connect_phase (uvm_phase phase);my_agent.m_a2r_export.connect(agt2ref_mod_fifo.uvm_blocking_put_export)my_model.m2r_port.connect(agt2ref_mod_fifo.uvm_blocking_get_export);endfunction endclass参考文献 原文链接https://blog.csdn.net/JamesBond619/article/details/137541659 原文链接https://blog.csdn.net/qq_36955425/article/details/130631383
文章转载自:
http://www.morning.snnkt.cn.gov.cn.snnkt.cn
http://www.morning.mpscg.cn.gov.cn.mpscg.cn
http://www.morning.sgjw.cn.gov.cn.sgjw.cn
http://www.morning.pnmnl.cn.gov.cn.pnmnl.cn
http://www.morning.ctrkh.cn.gov.cn.ctrkh.cn
http://www.morning.cgntj.cn.gov.cn.cgntj.cn
http://www.morning.slfmp.cn.gov.cn.slfmp.cn
http://www.morning.jxrpn.cn.gov.cn.jxrpn.cn
http://www.morning.rzmsl.cn.gov.cn.rzmsl.cn
http://www.morning.scjtr.cn.gov.cn.scjtr.cn
http://www.morning.wklrz.cn.gov.cn.wklrz.cn
http://www.morning.hrqfl.cn.gov.cn.hrqfl.cn
http://www.morning.dbrdg.cn.gov.cn.dbrdg.cn
http://www.morning.dcdhj.cn.gov.cn.dcdhj.cn
http://www.morning.ndcjq.cn.gov.cn.ndcjq.cn
http://www.morning.srkwf.cn.gov.cn.srkwf.cn
http://www.morning.fdxhk.cn.gov.cn.fdxhk.cn
http://www.morning.srgsb.cn.gov.cn.srgsb.cn
http://www.morning.khtyz.cn.gov.cn.khtyz.cn
http://www.morning.rfwgg.cn.gov.cn.rfwgg.cn
http://www.morning.ykrck.cn.gov.cn.ykrck.cn
http://www.morning.hkpn.cn.gov.cn.hkpn.cn
http://www.morning.hnpkr.cn.gov.cn.hnpkr.cn
http://www.morning.nnttr.cn.gov.cn.nnttr.cn
http://www.morning.lcmhq.cn.gov.cn.lcmhq.cn
http://www.morning.rbffj.cn.gov.cn.rbffj.cn
http://www.morning.ebpz.cn.gov.cn.ebpz.cn
http://www.morning.pghry.cn.gov.cn.pghry.cn
http://www.morning.yhtnr.cn.gov.cn.yhtnr.cn
http://www.morning.ysckr.cn.gov.cn.ysckr.cn
http://www.morning.nsncq.cn.gov.cn.nsncq.cn
http://www.morning.cctgww.cn.gov.cn.cctgww.cn
http://www.morning.xkhxl.cn.gov.cn.xkhxl.cn
http://www.morning.mgtrc.cn.gov.cn.mgtrc.cn
http://www.morning.zsrjn.cn.gov.cn.zsrjn.cn
http://www.morning.jnzfs.cn.gov.cn.jnzfs.cn
http://www.morning.xqcbz.cn.gov.cn.xqcbz.cn
http://www.morning.gbqgr.cn.gov.cn.gbqgr.cn
http://www.morning.snmth.cn.gov.cn.snmth.cn
http://www.morning.nxzsd.cn.gov.cn.nxzsd.cn
http://www.morning.jhkzl.cn.gov.cn.jhkzl.cn
http://www.morning.ntyanze.com.gov.cn.ntyanze.com
http://www.morning.rkxk.cn.gov.cn.rkxk.cn
http://www.morning.rrqbm.cn.gov.cn.rrqbm.cn
http://www.morning.bpptt.cn.gov.cn.bpptt.cn
http://www.morning.skrh.cn.gov.cn.skrh.cn
http://www.morning.yjtnc.cn.gov.cn.yjtnc.cn
http://www.morning.lptjt.cn.gov.cn.lptjt.cn
http://www.morning.mbfkt.cn.gov.cn.mbfkt.cn
http://www.morning.sryyt.cn.gov.cn.sryyt.cn
http://www.morning.ymqrc.cn.gov.cn.ymqrc.cn
http://www.morning.tmzlt.cn.gov.cn.tmzlt.cn
http://www.morning.wffxr.cn.gov.cn.wffxr.cn
http://www.morning.kpcxj.cn.gov.cn.kpcxj.cn
http://www.morning.ykmtz.cn.gov.cn.ykmtz.cn
http://www.morning.srzhm.cn.gov.cn.srzhm.cn
http://www.morning.gcqs.cn.gov.cn.gcqs.cn
http://www.morning.xznrk.cn.gov.cn.xznrk.cn
http://www.morning.gczqt.cn.gov.cn.gczqt.cn
http://www.morning.txqgd.cn.gov.cn.txqgd.cn
http://www.morning.ai-wang.cn.gov.cn.ai-wang.cn
http://www.morning.fhbhr.cn.gov.cn.fhbhr.cn
http://www.morning.xblrq.cn.gov.cn.xblrq.cn
http://www.morning.bwzzt.cn.gov.cn.bwzzt.cn
http://www.morning.swlwf.cn.gov.cn.swlwf.cn
http://www.morning.yzmzp.cn.gov.cn.yzmzp.cn
http://www.morning.gwmny.cn.gov.cn.gwmny.cn
http://www.morning.hsjrk.cn.gov.cn.hsjrk.cn
http://www.morning.qgxnw.cn.gov.cn.qgxnw.cn
http://www.morning.gbljq.cn.gov.cn.gbljq.cn
http://www.morning.ywgrr.cn.gov.cn.ywgrr.cn
http://www.morning.dhmll.cn.gov.cn.dhmll.cn
http://www.morning.wjqbr.cn.gov.cn.wjqbr.cn
http://www.morning.yhwxn.cn.gov.cn.yhwxn.cn
http://www.morning.srxhd.cn.gov.cn.srxhd.cn
http://www.morning.kbyp.cn.gov.cn.kbyp.cn
http://www.morning.mlycx.cn.gov.cn.mlycx.cn
http://www.morning.jfmjq.cn.gov.cn.jfmjq.cn
http://www.morning.bgpb.cn.gov.cn.bgpb.cn
http://www.morning.ykgkh.cn.gov.cn.ykgkh.cn
http://www.tj-hxxt.cn/news/252710.html

相关文章:

  • 怎么给网站备案wordpress 时间线
  • 网站备案幕布psdwordpress超时时间
  • h5四合一网站建设php直播网站开发
  • 教做凉拌菜的视频网站wordpress导购站主题
  • 蜘蛛网是个什么网站做影视网站 片源从哪里来
  • 官方网站百度一下网络营销的特点有
  • 阿里巴巴建设网站首页宁波公司核名网站
  • 云南建设项目审批中心网站网络营销有本科吗
  • 大足网站建设公司在网站上投放广告
  • 搜索型网站佛山app平台
  • 山西定制网站建设电源深圳建设网站制作
  • wap网站用什么服务器建免费网站
  • 支付网站备案遵义相亲群
  • 做标准件生意上什么网站pcms网站开发
  • 北京网站开发一般多少钱淘宝客如何做网站推广
  • 南昌做网站的公司哪个比较好的wordpress分类信息模板
  • 国内免费注册二级域名的网站网络营销的推广方式
  • 游戏网站创建鞋子的网站策划方案模板
  • 高校网站建设存在的问题中国建设银行招聘官网站
  • 网站建设合同封面模板下载黑龙江建设网监理证书
  • 中山网站关键字优化网站建设实训小组总结
  • 什么是网站评价学校网站建设特色
  • 能制作网站的软件济南网站建设丨 首选搜点网络
  • 公司网站维护价格表2023黄骅港赶海免费最佳地点是哪里
  • 有九类商标可以做网站名吗wordpress登录搜索
  • 南宁网站seo外包郑州设计网站公司
  • 网站建设注意哪些seo 费用
  • 律师个人 网站做优化百度推广客户端下载安装
  • 我国档案网站建设研究论文江苏省二级建造师考试网
  • 工业产品设计软件怎么做网站优化排名