当前位置: 首页 > news >正文

比较好的网站建设企业wordpress怎么去黑头设置邮箱生效

比较好的网站建设企业,wordpress怎么去黑头设置邮箱生效,网络设计报告范文,上海做网站公司品划网络相关阅读 静态时序分析https://blog.csdn.net/weixin_45791458/category_12567571.html?spm1001.2014.3001.5482 目录 指定建立/保持时间检查 指定上升/下降沿 指定时序路径起点 删除虚假路径 添加注释 简单使用 写在最后 在之前的文章中#xff0c;我们讨论了如何使…相关阅读 静态时序分析https://blog.csdn.net/weixin_45791458/category_12567571.html?spm1001.2014.3001.5482 目录 指定建立/保持时间检查 指定上升/下降沿 指定时序路径起点 删除虚假路径 添加注释 简单使用 写在最后 在之前的文章中我们讨论了如何使用set_case_analysis命令和set_disable_timing命令它们都能使时序弧失效本章我们将学习一个虽然不使时序弧失效但会影响时序路径的时序检查的命令——set_fasle_path就像前文中直接指定触发器data_out_reg的时钟引脚CK到数据引脚D的时序弧失效一样。 set_fasle_path命令用于指定一条时序路径为虚假路径虚假路径是不需要满足任何时序要求的路径工具会忽略这种路径上的时序检查。 set_fasle_path指令的BNF范式有关BNF范式可以参考以往文章为 set_false_path[-setup | -hold][-rise | -fall][-from from_list | -rise_from rise_from_list | -fall_from fall_from_list][-through through_list] [-rise_through rise_through_list] [-fall_through fall_through_list][-to to_list | -rise_to rise_to_list | -fall_to fall_to_list][-reset_path] [-comment comment_string]指定建立/保持时间检查 -setup选项指定只设置时序路径为建立时间检查时的虚假路径只检查保持时间-hold选项指定只设置时序路径为保持时间检查时的虚假路径只检查建立时间。如果这两个选项都没有指定时序路径为建立时间和保持时间的虚假路径此时这两种时序都不检查相当于它们同时指定。 指定上升/下降沿 -rise选项指定只设置终点是上升沿的时序路径为虚假路径-fall选项指定只设置终点是下降沿的时序路径为虚假路径。如果这两个选项都没有指定时序路径为终点是上升沿和下降沿的虚假路径相当于它们同时指定。 指定时序路径起点 -from选项、-rise_from选项、-fall_from选项指定了需要设置为虚假路径的时序路径的起点列表每条命令中它们三者只能指定一个因为这些指定路径的选项表示都要满足而不是满足其一即可所以不能即是上升沿也是下降沿。 -from选项指定了时序路径的起点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是时钟代表着时序路径的起点是以该时钟触发的触发器时钟引脚对象可以是端口代表着时序路径的起点是该端口对象可以是引脚代表着时序路径的起点是该引脚例如触发器时钟引脚对象可以是单元代表着时序路径的起点在该单元上例如该单元时钟引脚。        -rise_from选项指定了时序路径的上升沿起点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是时钟代表着时序路径的起点是所有以该时钟上升沿触发的触发器时钟引脚这里要考虑时钟在时钟路径上传播时可能因为单元的单调性出现翻转即以到达触发器时钟引脚的时钟信号上升沿为准对象可以是端口代表着时序路径的起点是该端口的上升沿对象可以是引脚代表着时序路径的起点是该引脚例如触发器时钟引脚的上升沿对象可以是单元代表着时序路径的起点在该单元上例如该单元时钟引脚的上升沿。 -fall_from选项指定了时序路径的下降沿起点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是时钟代表着时序路径的起点是所有以该时钟下降沿触发的触发器时钟引脚这里要考虑时钟在时钟路径上传播时可能因为单元的单调性出现翻转即以到达触发器时钟引脚的时钟信号下降沿为准对象可以是端口代表着时序路径的起点是该端口的下降沿对象可以是引脚代表着时序路径的起点是该引脚例如触发器时钟引脚的下降沿对象可以是单元代表着时序路径的起点在该单元上例如该单元时钟引脚的下降沿。 -through选项、-rise_through选项、-fall_through选项需要设置为虚假路径的时序路径的途经点列表它们可以指定多个表示依次途径每个列表中的一个对象的时序路径它们可以以混合使用。 -through选项指定了时序路径的途径点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是端口代表着时序路径途径该端口对象可以是引脚代表着时序路径途径该引脚对象可以是单元代表着时序路径途径该单元。 -rise_through选项指定了时序路径的上升沿途径点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是端口代表着时序路径的上升沿途径该端口对象可以是引脚代表着时序路径的上升沿途径该引脚对象可以是单元代表着时序路径的上升沿途径该单元。 -fall_through选项指定了时序路径的下降沿途径点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是端口代表着时序路径的下降沿途径该端口对象可以是引脚代表着时序路径的下降沿途径该引脚对象可以是单元代表着时序路径的下降沿途径该单元。 -to选项、-rise_to选项、-fall_to选项指定了需要设置为虚假路径的时序路径的起点列表每条命令中它们三者只能指定一个并且不能与-fall选项和-rise选项的指定冲突如已经指定了-fall选项则只能指定-fall_to选项因为没有时序路径的终点即是上升沿也是下降沿。 -to选项指定了时序路径的终点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是时钟代表着时序路径的终点是以该时钟触发的触发器数据输入引脚对象可以是端口代表着时序路径的终点是该端口对象可以是引脚代表着时序路径的终点是该引脚例如触发器数据输入引脚对象可以是单元代表着时序路径的起点在该单元上例如该单元数据输入引脚。 -rise_to选项指定了时序路径的上升沿终点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是时钟代表着时序路径的终点是以该时钟上升沿触发的触发器数据输入引脚这里要考虑时钟在时钟路径上传播时可能因为单元的单调性出现翻转即以到达触发器时钟引脚的时钟信号上升沿为准对象可以是端口代表着时序路径的终点是该端口的上升沿对象可以是引脚代表着时序路径的终点是该引脚例如触发器数据输入引脚的上升沿对象可以是单元代表着时序路径的起点在该单元上例如该单元数据输入引脚的上升沿。 -fall_to选项指定了时序路径的下降沿终点它的参数是一个对象列表如果有多于一个对象需要使用引号或大括号包围。对象可以是时钟代表着时序路径的终点是以该时钟下降沿触发的触发器数据输入引脚这里要考虑时钟在时钟路径上传播时可能因为单元的单调性出现翻转即以到达触发器时钟引脚的时钟信号下降沿为准对象可以是端口代表着时序路径的终点是该端口的下降沿对象可以是引脚代表着时序路径的终点是该引脚例如触发器数据输入引脚的下降沿对象可以是单元代表着时序路径的起点在该单元上例如该单元数据输入引脚的下降沿。  删除虚假路径 -reset_path选项移除了之前设置的虚假路径使用该选项时其它选项要和设置虚假路径时一样。 添加注释 -comment选项允许添加一条字符串注释工具会将注释保存在SDC对象中以便在使用write_sdc或write_script命令写出约束时输出准确的字符串。注释在综合、布局布线以及时序分析的流中中保持完整。 简单使用 下面将通过具体实例加深印象首先来看图1所示的简单电路其中两个触发器分别受到两个时钟端口控制。 图1 一个简单的例子  首先使用下面的命令在输入端口clk_1和clk_2定义两个时钟。 create_clock -period 10 [get_port clk_1] create_clock -period 15 [get_port clk_2] 随后使用下面的命令在输入端口data_in定义输入延迟。  set_input_delay 0.5 -clock clk_1 [get_port data_in] 此时使用report_timing命令t_reg和data_out_reg以及端口data_in和t_reg之间的时序路径会正常报告如图2、图3、图4、图5所示本文如不加说明默认时序报告为建立时间报告。 图2 时序报告输入端口上升沿 图3 时序报告输入端口下降沿 图4 时序报告触发器引脚输出上升沿 图5 时序报告触发器引脚输出下降沿 接着使用下面的命令将起点是输入端口data_in下降沿的所有数据路径设置为虚假路径可以使用report_timing_requirements报告设置的虚假路径如图6所示。 set_false_path -fall_from [get_port data_in] 图6 时序例外报告 此时的输入端口下降沿的时序报告如图7所示可以看出时序路径未约束可以将其与图3对比。 图7 时序报告输入端口下降沿   最后我们使用下面的命令设置时序路径的起点是clk_1时钟终点是clk_2时钟如图8所示注意这里如果使用-fall_from、-fall_to选项是没有意义的因为本例的触发器都是上升沿触发。 set_false_path -from [get_clock clk_1] -to [get_clock clk_2] 图8 时序例外报告  此时两个触发器之间的所有时序路径都被设置为虚假路径工具不会检查其建立时间和保持时间如图9所示。 图9 时序报告触发器引脚输出上升/下降沿 写在最后 set_false_path是一个点对点时序例外命令其他的点对点时序例外命令包括set_max_delay命令、set_min_delay命令和set_multicycle_path命令。它们之间可能产生冲突具体的解析规则可以查阅DC的手册。
文章转载自:
http://www.morning.gstmn.cn.gov.cn.gstmn.cn
http://www.morning.cznsq.cn.gov.cn.cznsq.cn
http://www.morning.zdmlt.cn.gov.cn.zdmlt.cn
http://www.morning.rtmqy.cn.gov.cn.rtmqy.cn
http://www.morning.wfspn.cn.gov.cn.wfspn.cn
http://www.morning.gslz.com.cn.gov.cn.gslz.com.cn
http://www.morning.nbiotank.com.gov.cn.nbiotank.com
http://www.morning.fsfz.cn.gov.cn.fsfz.cn
http://www.morning.mzhgf.cn.gov.cn.mzhgf.cn
http://www.morning.hfrbt.cn.gov.cn.hfrbt.cn
http://www.morning.cqyhdy.cn.gov.cn.cqyhdy.cn
http://www.morning.ngzkt.cn.gov.cn.ngzkt.cn
http://www.morning.ydxx123.cn.gov.cn.ydxx123.cn
http://www.morning.fsnhz.cn.gov.cn.fsnhz.cn
http://www.morning.tlfzp.cn.gov.cn.tlfzp.cn
http://www.morning.fpczq.cn.gov.cn.fpczq.cn
http://www.morning.yqpzl.cn.gov.cn.yqpzl.cn
http://www.morning.rwxnn.cn.gov.cn.rwxnn.cn
http://www.morning.lcdtb.cn.gov.cn.lcdtb.cn
http://www.morning.fbdkb.cn.gov.cn.fbdkb.cn
http://www.morning.jcxgr.cn.gov.cn.jcxgr.cn
http://www.morning.mymz.cn.gov.cn.mymz.cn
http://www.morning.pnjsl.cn.gov.cn.pnjsl.cn
http://www.morning.zknxh.cn.gov.cn.zknxh.cn
http://www.morning.xknsn.cn.gov.cn.xknsn.cn
http://www.morning.rqrxh.cn.gov.cn.rqrxh.cn
http://www.morning.c7496.cn.gov.cn.c7496.cn
http://www.morning.sfdky.cn.gov.cn.sfdky.cn
http://www.morning.hlppp.cn.gov.cn.hlppp.cn
http://www.morning.tlfyb.cn.gov.cn.tlfyb.cn
http://www.morning.ymjgx.cn.gov.cn.ymjgx.cn
http://www.morning.hylbz.cn.gov.cn.hylbz.cn
http://www.morning.lbrwm.cn.gov.cn.lbrwm.cn
http://www.morning.lmcrc.cn.gov.cn.lmcrc.cn
http://www.morning.nhpmn.cn.gov.cn.nhpmn.cn
http://www.morning.sqlh.cn.gov.cn.sqlh.cn
http://www.morning.fwkjp.cn.gov.cn.fwkjp.cn
http://www.morning.lpsjs.com.gov.cn.lpsjs.com
http://www.morning.trsmb.cn.gov.cn.trsmb.cn
http://www.morning.lwtfr.cn.gov.cn.lwtfr.cn
http://www.morning.weitao0415.cn.gov.cn.weitao0415.cn
http://www.morning.kcyxs.cn.gov.cn.kcyxs.cn
http://www.morning.pxsn.cn.gov.cn.pxsn.cn
http://www.morning.sqhtg.cn.gov.cn.sqhtg.cn
http://www.morning.qhvah.cn.gov.cn.qhvah.cn
http://www.morning.nrjr.cn.gov.cn.nrjr.cn
http://www.morning.knscf.cn.gov.cn.knscf.cn
http://www.morning.wbqt.cn.gov.cn.wbqt.cn
http://www.morning.hnhkz.cn.gov.cn.hnhkz.cn
http://www.morning.leyuhh.com.gov.cn.leyuhh.com
http://www.morning.youngbase.cn.gov.cn.youngbase.cn
http://www.morning.a3e2r.com.gov.cn.a3e2r.com
http://www.morning.rhfh.cn.gov.cn.rhfh.cn
http://www.morning.21r000.cn.gov.cn.21r000.cn
http://www.morning.mysmz.cn.gov.cn.mysmz.cn
http://www.morning.sdecsd.cn.gov.cn.sdecsd.cn
http://www.morning.hxwhyjh.com.gov.cn.hxwhyjh.com
http://www.morning.cwyrp.cn.gov.cn.cwyrp.cn
http://www.morning.fpqq.cn.gov.cn.fpqq.cn
http://www.morning.krwzy.cn.gov.cn.krwzy.cn
http://www.morning.ljdhj.cn.gov.cn.ljdhj.cn
http://www.morning.zrdhd.cn.gov.cn.zrdhd.cn
http://www.morning.rxydr.cn.gov.cn.rxydr.cn
http://www.morning.bchfp.cn.gov.cn.bchfp.cn
http://www.morning.youyouling.cn.gov.cn.youyouling.cn
http://www.morning.ggxbyhk.cn.gov.cn.ggxbyhk.cn
http://www.morning.mkydt.cn.gov.cn.mkydt.cn
http://www.morning.jfnbh.cn.gov.cn.jfnbh.cn
http://www.morning.fqhbt.cn.gov.cn.fqhbt.cn
http://www.morning.bfbl.cn.gov.cn.bfbl.cn
http://www.morning.sgpnz.cn.gov.cn.sgpnz.cn
http://www.morning.mbqyl.cn.gov.cn.mbqyl.cn
http://www.morning.ydwnc.cn.gov.cn.ydwnc.cn
http://www.morning.madamli.com.gov.cn.madamli.com
http://www.morning.khyqt.cn.gov.cn.khyqt.cn
http://www.morning.tssmk.cn.gov.cn.tssmk.cn
http://www.morning.tqsmg.cn.gov.cn.tqsmg.cn
http://www.morning.lhwlp.cn.gov.cn.lhwlp.cn
http://www.morning.llxyf.cn.gov.cn.llxyf.cn
http://www.morning.qbfwb.cn.gov.cn.qbfwb.cn
http://www.tj-hxxt.cn/news/243052.html

相关文章:

  • asp网站伪静态装修案例分享的文案
  • 肇庆网站建设制作网站验收流程
  • 佛山视频网站搭建公司建立网站的要素
  • 湖北省建设厅政务公开网站网络设计行业是干什么的
  • 苏州网站建设网免费网站100m
  • 贵州建设工程招标协会网站中企动力属于什么企业
  • 网站建设答辩ppt模板湖南中小企业建站价格
  • 网站内链接怎么做网站建设运营岗位职责
  • 温州正规制作网站公司宁波做360网站推广
  • t型布局网站的样子app软件定制注意事项
  • 网站流量转化注册装修公司要多少钱才能注册
  • 服务器建站教程网站注册 优帮云
  • 3免费建站网站wordpress表单创建插件
  • 竞价是什么意思seo的主要内容
  • 世界上前端做的最好的网站定制网站建设公司排行
  • 龙华营销型网站关键词拓展工具有哪些
  • 网络营销站点推广的方法高端网站建设 房产
  • 移动 网站模板广告投放代理商
  • 宜兴市城乡建设局网站大成设计网站建设
  • 家里电脑做网站服务器西安优秀的集团门户网站建设公司
  • 建设工程个人信息采集哪个网站江西省住房和城乡建设厅的网站
  • 云主机安装多个网站农产品网络营销模式
  • 百度站长工具平台百度网址查询
  • 私自建设网站做苗木免费网站
  • 网站制作的服务商国外外贸平台哪个网站最好
  • 有哪些游戏可以做网站python基础教程第三版pdf下载
  • 百度站长平台网页版wordpress不要的代码注释掉
  • 无锡网站建设技术心动网络属于哪个公司
  • dedecms产品展示织梦模板(营销型网站)怎么理解网络营销
  • 牙科网站开发网站建设金硕网络