当前位置: 首页 > news >正文

西宁网站设计seo短视频网页入口引流免费

西宁网站设计,seo短视频网页入口引流免费,一台云服务器可以做多个网站,涂料网站设计专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 要实现24bit数据至128bit数据的位宽转换,必须要用寄存器将先到达的数据进行缓存。24bit数据至128bit数据,相当于5个输入数据第6个输入数据的拼接成一…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

        要实现24bit数据至128bit数据的位宽转换,必须要用寄存器将先到达的数据进行缓存。24bit数据至128bit数据,相当于5个输入数据+第6个输入数据的拼接成一个输出数据,出于对资源的节省以及时序要求,采用120bit的寄存器(data_lock)进行数据缓存。由24 * 16 = 128 * 3可知,每16组data_in就会是一轮循环,并且在第6,11,16组数据来临时,data_out完成输出,data_lock的值是由原data_lock的低位和新data_in补低位实现的(各位可模拟一下)这样可以实现先输入的数据将会在输出数据的高位优先输出。

`timescale 1ns/1nsmodule width_24to128(input 				clk 		,   input 				rst_n		,input				valid_in	,input	[23:0]		data_in		,output	reg			valid_out	,output  reg [127:0]	data_out
);reg [119:0] data_lock ; reg [3:0] valid_cnt ; always @ (posedge clk or negedge rst_n) begin if (~rst_n) valid_cnt <= 1'd0 ; else if (valid_in) if (valid_cnt == 4'd15) valid_cnt <= 4'd0 ; else valid_cnt <= valid_cnt + 1'd1 ; endalways @ (posedge clk or negedge rst_n) begin if (~rst_n) begin data_lock <= 1'd0 ; data_out <= 1'd0 ; endelse if (valid_in) begin if (valid_cnt == 4'd5) begin data_lock <= {data_lock[119:16], data_in[15:0]} ; data_out <= {data_lock, data_in[23:16]} ; endelse if (valid_cnt == 4'd10) begin data_lock <= {data_lock[119:8], data_in[7:0]} ; data_out <= {data_lock[111:0], data_in[23:8]} ;endelse if (valid_cnt == 4'd15) begin data_lock <= {data_lock[119:24], data_in} ; data_out <= {data_lock[103:0], data_in} ; endelse data_lock <= {data_lock[95:0], data_in} ; endendalways @ (posedge clk or negedge rst_n) begin if (~rst_n) valid_out <= 1'd0 ; else if (valid_in && (valid_cnt == 4'd5 || valid_cnt == 4'd10 || valid_cnt == 4'd15)) valid_out <= 1'd1 ; else valid_out <= 1'd0 ;end
endmodule
http://www.tj-hxxt.cn/news/64573.html

相关文章:

  • 导航网站前端模板下载如何自己搭建网站
  • 卓光网站建设网络广告创意
  • 网站空间怎么选学生个人网页设计模板
  • 东莞常平邮编怎么优化自己网站
  • 公司网站制作导航企业如何进行品牌推广
  • 如何使用ftp上传网站北京企业网站推广哪家公司好
  • 专门做头像的网站外链生成
  • 邢台做移动网站价格表seo专业推广
  • 网站开发设计报告怎么写安徽搜索引擎优化
  • 网站推送怎么做的广州seo优化
  • 网站建立公司 优帮云seo自动点击排名
  • 福建住房与城乡建设部网站舆情分析
  • wordpress直播购物插件seo优化是什么
  • 广州市网站建设制作设计平台广州外包网络推广公司
  • wordpress 域名 ip优化人员配置
  • 如何用另一个端口做网站盐城seo培训
  • 如何做好宣传推广抖音seo关键词排名技术
  • 网站技术支持怎么做电商生意
  • 淄博做网站的公司建网站费用
  • wordpress无法登录界面福州seo网站推广优化
  • 做众筹网站有哪些新开网店自己如何推广
  • 网站开发岗位思维导图客服网站搭建
  • 广西建设网站关键词林俊杰
  • 网站制作如皋网页推广怎么做的
  • 设计网站都有什么作用是什么原因成都黑帽seo
  • 东营长安网站建设企业seo排名外包
  • 如何做网站的伪静态页面百度下载app
  • 怎么查在哪个网站做的备案如何进行营销推广
  • 烟台网站建设网站推广品牌全案策划
  • 什么官网比较容易做网站关键词优化按天计费