当前位置: 首页 > news >正文

网站建设经费南宁seo内部优化

网站建设经费,南宁seo内部优化,做视频的网站那几个盈利了,在哪找做调查赚钱的网站好目录 寄存器的设计: 多位寄存器: 多位寄存器的VHDL描述: 移位寄存器: 串进并出的移位寄存器的VHDL描述: 寄存器的设计: 多位寄存器: 一个D触发器就是一位寄存器,如果需要多位寄存器&…

目录

寄存器的设计:

多位寄存器:      

多位寄存器的VHDL描述:

移位寄存器:

串进并出的移位寄存器的VHDL描述:


寄存器的设计:

多位寄存器:      

一个D触发器就是一位寄存器,如果需要多位寄存器,就要用多个D触发器构成。

多位寄存器的VHDL描述:

Entity reg  is

      generic( n: natural :=4 );                            --实体类属中的常数

        port (  D: in std_logic_vector(n-1 downto 0);

                 clock, reset : in std_logic;

                 Q: out std_logic_vector (n-1 downto 0) );

End reg ;

Architecture behav of reg is

Begin

     process(clock, reset)

     begin

         if (reset=‘0’)  then Q<=( others=>‘0’);       --表示Q赋全‘0 

         elsif rising_edge(clock) then

             Q<=D;

         end if;

       end process;

End  behav ;


移位寄存器:

我们这里讨论的是串进并出的移位寄存器,即串行输入,在时钟的边沿移位进寄存器,形成并行输出

串进并出的移位寄存器的VHDL描述:

Entity   sipo is

       generic( n : natural :=8);

       port ( a : in std_logic ;

                 q: out std_logic_vector(n-1 downto 0);

                 clk : in std_logic );

End sipo;

Architecture behav of sipo is

Begin

    process(clk)

        variable reg : std_logic_vector(n-1 downto 0);

     begin

         if  rising_edge(clk)  then

              reg : = reg ( n-2 downto 0) & a ;   --左移移位寄存器;

                                      -- reg : = a & reg (n-1 downto 1); 右移移位寄存器

          end if ;

          q<= reg ;

    end  process;

End  behav;

 输入8位数据11100100,从仿真波形可以看出,8位数据是从低位左移存储到寄存器中的。

 

http://www.tj-hxxt.cn/news/45294.html

相关文章:

  • 网站备案更名长沙网络优化产品
  • 做机加工的网站可以发布软文的平台
  • 做门户网站用什么软件商业软文案例
  • 网站开发浏览器兼容性长沙seo网站排名优化公司
  • 大连seo网站推广武汉seo搜索引擎
  • 上海做网站好的公司离我最近的电脑培训中心
  • 怎么免费做公司网站今天重大新闻事件
  • 网站建设一般收多少定金seo教程seo官网优化详细方法
  • 二手车网站软件建设sem竞价托管多少钱
  • 泉州网站建设方案服务360推广登录入口官网
  • 网站制作和维护费用阿里大数据平台
  • 网站 二级域名宁波网站快速优化
  • 搜索网站的设计与建设今天最新疫情情况
  • wpf可以做网站吗软文广告经典案例800字
  • 浙江省建设教育考试中心网站现在最火的推广平台有哪些
  • 网站备案主办单位错误淘宝关键词优化软件
  • wordpress 常用 代码杭州seo排名收费
  • 哈尔滨定制网站建设怎么开发一款app软件
  • 做打鱼网站深圳百度推广seo公司
  • 怎样做克隆网站杭州seo托管公司推荐
  • 学习做网站的孛校seo网站优化经理
  • 泰安做网络推广的站群seo技巧
  • 防水网站怎么做友情链接出售平台
  • 个人备案可以做影视网站吗百度搜首页
  • 镜像网站做优化北京网站建设运营
  • 哈尔滨大型网站制作大数据营销名词解释
  • 百度sem推广济南公司网站推广优化最大的
  • 做网站客户上海百度seo公司
  • 佛山有什么网站网站单向外链推广工具
  • 做的好的电商网站项目关键词排名顾问