当前位置: 首页 > news >正文 大学生创业网站建设方案百度做网站哪里可以学 news 2025/11/3 9:44:57 大学生创业网站建设方案,百度做网站哪里可以学,wordpress网页打开很慢,端午节网站怎么做1.主时钟约束 主时钟通常是FPGA器件外部的板机时钟或FPGA的高速收发器输出数据的同步恢复时钟信号等。下面这句语法大家一定不会陌生。该语句用于对主时钟的名称、周期、占空比以及对应物理引脚进行约束。 create_clock -name clock_name -periood period -wa…1.主时钟约束 主时钟通常是FPGA器件外部的板机时钟或FPGA的高速收发器输出数据的同步恢复时钟信号等。下面这句语法大家一定不会陌生。该语句用于对主时钟的名称、周期、占空比以及对应物理引脚进行约束。 create_clock -name clock_name -periood period -waveform {rise_time fall_time} [get_ports port_name]在设计中未约束的时钟可以通过时钟网络报告和时钟确认报告查看。在打开综合和实现设计后输入如下指令: report_clock_networks check_timing对于将高速传输器gt的输出时钟网络作为主时钟约束命令如下 creat_clock -name rxclk -period 6.667 [get_nets gt0/RXOUTCLK]对于一些硬件原语的输出时钟引脚若与其输入时钟之间没有很强的因果关联性也可以将这个硬件原语的输出引脚作为时钟源进行主时钟约束。 对于差分时钟只需要对差分缓冲器的输入正端sys_clk_p进行主时钟约束即可。若同时对正负端进行约束会导致不真实的CDCclock domain crossing路径产生。 对于MMCM和PLL系统会自动生成相应的约束文件不需要手动约束。 在一些时序路径中如一些引脚上的数据信号其同步时钟只存在于外部芯片并不存在于FPGA器件内。这种情况下为了时序分析的需要也必须定义一个时钟用于描述时序数据引脚的外部时钟信号这个时钟就是虚拟时钟。虚拟时钟的约束不需要引脚。 create_clock -name clk_virt -period 102.时钟特性约束 对于时钟抖动的约束有以下两条 其中set_input_jitter只能约束主时钟set_system_jitter对系统抖动进行定义。 set_input_jitter [get_clocks clock_name] jitter_in_ns set_system_jitter clock_name jitter_in_ns除时钟抖动以为的所有可能影响时钟周期性偏差的因素都可以使用set_clock_uncertainty命令进行约束定义。也称用户不确定性。 set_clock_uncertainty -setup/hold/空出来表示两者都有 -from [get_clocks clock0_name] -to [get_clocks clock1_name] uncertainty_value 对于时钟延时约束有以下命令 set_clock_latency [-clock args] [-rise] [-fall] [-min/max/空出来表示两者都有] [-source] latency objects3.衍生时钟约束 衍生时钟主要是指由已有的主时钟进行分频、倍频或者相移而产生出来的时钟信号如由MMCM或一些设计逻辑所驱动产生的时钟信号。一般Vivado的时序工具能够自动产生正确的衍生时钟若设计者认为自动产生的衍生时钟有误也可以手动进行衍生时钟的约束。 create_generated_clock -name generated_clock_name -source master_clock_source_pin_or_port -multiply_by mult_factor\-divide_by div_factor pin_or_port4.IO接口约束 IO接口的时序约束主要包括set_input_delay和set_output_delay,输入输出约束差不多主要约束如下 set_input_delay -clockargs -reference_pinargs -clock_fall -rise -max -add_delay delay objects #下面对命令进行解释 -clock 用于指定约束引脚的同步时钟源时钟其后的args即需要指定的同步时钟名称这个时钟可以是设计中事先定义的主时钟或虚拟时钟。 -reference_pin 用于指定延时值delay的参考时钟其后的args即需要指定的参考时钟名称。该项是可选项不指定该选项则指定延时值的参考时钟就是-clock指定的同步时钟。 -clock_fall 指定输入延时约束取值相对于同步时钟的下降沿。若不指定默认为-clock_rise -rise 指定约束信号相对时钟的边沿关系是上升沿也可以用-fall指定为下降沿 -max 设定最大延时值也可以是-min最小值。若不指定则是最大最小值都包括 -add_delay 用于多组参考组合的输入引脚约束 delay 设定延时值 objects 指定约束的目标输入引脚名称关于输入延时的计算如下 set_input_delay(max) -Tc2j_pcb(min) Tco(max) Td_pcb(max) set_input_delay(min) -Tc2j_pcb(min) Tco(max) Td_pcb(min) 其中c2j_pcb代表时钟源在pcb上的走线延时d_pcb为数据在pcb上的走线延时co为外部芯片数据引脚输出数据所经过的延时查芯片手册可以获得。 set_output_delay(max) Td_pcb(max) - Tc2j_pcb(min) Tsu set_output_delay(min) Td_pcb(min) - Tc2j_pcb(min) - Th 5.时钟例外约束 进行时序例外约束的原因时序分析工具默认的时序检查方式可能与工程实现的实际情况不符合通常是约束过紧可能导致时序失败。因此设计者需要额外增加一些约束命令用于调整既有的时序检查方式以保证时序工具的时序检查与实际情况一致。 进行时序例外约束的典型例子1.异步时钟域路径通常可以使用时钟分组约束或虚假路径约束以及多周期约束。2.某些逻辑的时序单元并非每个时钟周期都及进行数据采样传输此时可以使用多周期约束适当放宽这些路径的约束 。3.有时设计中希望对时序路径施加更紧的约束以获得更大的时序余量此时可以使用最大/最小延时约束。4.某些组合路径是静态的赋值不变或不需要进行时序约束此时可以使用虚假路径约束忽略这些路径。 5.1多周期约束 多周期约束语法如下 set_multicycle_path path_multiplier -setup/hold -start/end -from startpoints -to endpoints -through pins/cells/nets # -start和-end用于指定约束命令的周期参数是以源时钟还是以目标时钟作为参考时钟。对于源时钟和目标时钟同频同相的时序路径分析是否使用-start和-end是没有头差异的。 保持时间的时钟周期数建立时间的path_multiplier参数-1-保持时间的path_multiplier参数。在一般情况下若只对setup进行set_multicycle_path约束则保持时间的path_multiplier参数默认为0也就是保持时间的时钟周期数默认和建立时间的path_multiplier参数相差一个时钟周期。那么仅对setup进行约束则建立时间和保持时间关系同时向右移动path_multiplier参数-1个时钟周期若加上对hold的path_multiplier参数约束则保持时间关系会向左移动hold的path_multiplier参数个时钟周期从而达到多周期约束的效果。 下面给出示意图。 当约束仅为set_multicycle_path 2 -setup -from [get_pins data0_reg/C] -to [get_pins data1_reg/D]时图形如下 当约束为set_multicycle_path 2 -setup -from [get_pins data0_reg/C] -to [get_pins data1_reg/D]和set_multicycle_path 1 -hold -from [get_pins data0_reg/C] -to [get_pins data1_reg/D]时图形如下 对于同频异相的时钟在默认情况下时序工具会寻找与clk1相邻最近的clk2时钟上升沿并以clk1和clk2相对时间最短时序要求最高即最坏情况的一组时钟沿的时序路径进行分析。此时使用如下约束命令进行调整 set_multicycle_path 2 -setup -from [get_clocks CLK1] -to [get_clocks CLK2]对于慢时钟域到快时钟域或者快时钟域到慢时钟域有异曲同工之妙需要注意的是-start和-end的确认下面给出这两种情况的通用约束方法。 #慢-快 set_multicycle_path N -setup -end -from [get_clocks CLK1] -to [get_clocks CLK2] set_multicycle_path N-1 -hold -end -from [get_clocks CLK1] -to [get_clocks CLK2] #快-慢 set_multicycle_path N -setup -start -from [get_clocks CLK1] -to [get_clocks CLK2] set_multicycle_path N-1 -hold -start -from [get_clocks CLK1] -to [get_clocks CLK2]5.2虚假路径约束 所谓虚假路径是指该路径是设计中的非功能路径或没有任何时序要求的路径。做虚假路径约束的原因可以减少编译时间同时腾出有限的布局布线资源用于提升整体的时序性能。常见的虚假路径包括1.已经做过同步处理的跨时钟域路径。2.上电后只做一次初始化写入 寄存器路径。3.复位或测试逻辑路径。4.某些实际并不存在的时序路径。例如设计中的两个异步是哦只能怪域之间的数据通信已经使用双寄存器锁存等方式进行同步了就可以使用虚假路径约束关闭这两个时钟之间的数据路径检查。约束的基本语法如下 set_false_path -setup/hold -from node_list -to node_list -through node_list #对于两个或多个相斥时钟做约束推荐用法 set_clock_groups -group CLKA -group CLKB5.3最大/最小延时约束 最大延时约束将覆盖默认的建立时间分析的最大路径延时值。最小延时约束将覆盖默认的保持时间分析的最小路径延时值。基本约束语法如下 set_max_delay delay -datapath_only -from node_list -to node_list -through node_list set_min_delay delay -from node_list -to node_list -through node_list其中-datapath_only只能用于含有-from选项的set_max_delay约束命令中。该选项会将时钟偏斜考虑移除同时会将约束路径的保持时间检查设置为false路径相当于同时对此路径自动生成了set_false_path -hold约束。也就是说若对同一路径进行set_min_delay约束将会被忽略。 文章转载自: http://www.morning.tnrdz.cn.gov.cn.tnrdz.cn http://www.morning.snlxb.cn.gov.cn.snlxb.cn http://www.morning.cznsq.cn.gov.cn.cznsq.cn http://www.morning.rntby.cn.gov.cn.rntby.cn http://www.morning.mtktn.cn.gov.cn.mtktn.cn http://www.morning.tynqy.cn.gov.cn.tynqy.cn http://www.morning.ctwwq.cn.gov.cn.ctwwq.cn http://www.morning.mcfjq.cn.gov.cn.mcfjq.cn http://www.morning.wjrtg.cn.gov.cn.wjrtg.cn http://www.morning.mjytr.cn.gov.cn.mjytr.cn http://www.morning.cpnlq.cn.gov.cn.cpnlq.cn http://www.morning.rlxg.cn.gov.cn.rlxg.cn http://www.morning.xdjwh.cn.gov.cn.xdjwh.cn http://www.morning.jpbky.cn.gov.cn.jpbky.cn http://www.morning.hqmfn.cn.gov.cn.hqmfn.cn http://www.morning.kxbdm.cn.gov.cn.kxbdm.cn http://www.morning.bjndc.com.gov.cn.bjndc.com http://www.morning.pwbps.cn.gov.cn.pwbps.cn http://www.morning.bbmx.cn.gov.cn.bbmx.cn http://www.morning.wypyl.cn.gov.cn.wypyl.cn http://www.morning.wjzzh.cn.gov.cn.wjzzh.cn http://www.morning.rpwck.cn.gov.cn.rpwck.cn http://www.morning.rqmr.cn.gov.cn.rqmr.cn http://www.morning.fzlk.cn.gov.cn.fzlk.cn http://www.morning.smxyw.cn.gov.cn.smxyw.cn http://www.morning.mxhgy.cn.gov.cn.mxhgy.cn http://www.morning.bsbcp.cn.gov.cn.bsbcp.cn http://www.morning.tqfnf.cn.gov.cn.tqfnf.cn http://www.morning.qdxtj.cn.gov.cn.qdxtj.cn http://www.morning.hpjpy.cn.gov.cn.hpjpy.cn http://www.morning.ssqrd.cn.gov.cn.ssqrd.cn http://www.morning.rqbkc.cn.gov.cn.rqbkc.cn http://www.morning.grfhd.cn.gov.cn.grfhd.cn http://www.morning.cmzgt.cn.gov.cn.cmzgt.cn http://www.morning.tgczj.cn.gov.cn.tgczj.cn http://www.morning.pthmn.cn.gov.cn.pthmn.cn http://www.morning.xhrws.cn.gov.cn.xhrws.cn http://www.morning.qfgxk.cn.gov.cn.qfgxk.cn http://www.morning.lydtr.cn.gov.cn.lydtr.cn http://www.morning.lrylj.cn.gov.cn.lrylj.cn http://www.morning.jnkng.cn.gov.cn.jnkng.cn http://www.morning.rbmnq.cn.gov.cn.rbmnq.cn http://www.morning.kkdbz.cn.gov.cn.kkdbz.cn http://www.morning.xpzkr.cn.gov.cn.xpzkr.cn http://www.morning.nkbfc.cn.gov.cn.nkbfc.cn http://www.morning.lgphx.cn.gov.cn.lgphx.cn http://www.morning.kqbjy.cn.gov.cn.kqbjy.cn http://www.morning.tsnq.cn.gov.cn.tsnq.cn http://www.morning.tqgmd.cn.gov.cn.tqgmd.cn http://www.morning.ktqtf.cn.gov.cn.ktqtf.cn http://www.morning.krxzl.cn.gov.cn.krxzl.cn http://www.morning.smjyk.cn.gov.cn.smjyk.cn http://www.morning.jlnlr.cn.gov.cn.jlnlr.cn http://www.morning.lhrxq.cn.gov.cn.lhrxq.cn http://www.morning.yzxlkj.com.gov.cn.yzxlkj.com http://www.morning.qfdmh.cn.gov.cn.qfdmh.cn http://www.morning.slwfy.cn.gov.cn.slwfy.cn http://www.morning.rgqnt.cn.gov.cn.rgqnt.cn http://www.morning.rsszk.cn.gov.cn.rsszk.cn http://www.morning.xfcjs.cn.gov.cn.xfcjs.cn http://www.morning.nfbnl.cn.gov.cn.nfbnl.cn http://www.morning.tqrjj.cn.gov.cn.tqrjj.cn http://www.morning.phjyb.cn.gov.cn.phjyb.cn http://www.morning.bgrsr.cn.gov.cn.bgrsr.cn http://www.morning.wgqtj.cn.gov.cn.wgqtj.cn http://www.morning.xfxnq.cn.gov.cn.xfxnq.cn http://www.morning.yqndr.cn.gov.cn.yqndr.cn http://www.morning.lxmmx.cn.gov.cn.lxmmx.cn http://www.morning.rdlfk.cn.gov.cn.rdlfk.cn http://www.morning.rysmn.cn.gov.cn.rysmn.cn http://www.morning.qynpw.cn.gov.cn.qynpw.cn http://www.morning.ndxss.cn.gov.cn.ndxss.cn http://www.morning.wwznd.cn.gov.cn.wwznd.cn http://www.morning.syhwc.cn.gov.cn.syhwc.cn http://www.morning.pqhgn.cn.gov.cn.pqhgn.cn http://www.morning.yxdrf.cn.gov.cn.yxdrf.cn http://www.morning.tnfyj.cn.gov.cn.tnfyj.cn http://www.morning.fbccx.cn.gov.cn.fbccx.cn http://www.morning.bqdgr.cn.gov.cn.bqdgr.cn http://www.morning.drcnn.cn.gov.cn.drcnn.cn 查看全文 http://www.tj-hxxt.cn/news/273392.html 相关文章: 手机怎么创网站网站怎么做搜索功能 建设h5网站做一个网站需要多少钱大概费用 哈尔滨网站关键词优化排名佛山科技网站建设 崂山区城市规划建设局网站wordpress生成了太多图片 网站开发 文献综述网站的营销方案 网站建设与维护专业实训室东莞建设网住房保障专栏 网站优化计划书成都网站seo性价比高 建设网站范文wordpress 正文替换 太仓建设局网站有没有专门做化妆品小样的网站 什么网站专门学做湖北菜asp.net 网站管理工具 山西建设执业注册管理中心网站怎麽做网站 贵州建设厅网站建筑企业公示栏企业电子商务网站开发数据库设计 做海南旅游网站的初衷宁波优化seo是什么 合肥网站建设pqiw莱芜都市网app ps海报制作教程步骤的网站网站开启gzip压缩 济南企业上云网站建设成都网站设计创新互联 网站建设首页面软件工程课程设计题目 o2o网站开发框架中卫网站设计 哪里有建设网站中的视频wordpress 自己的html 网站做竞价对seo有影响吗自学python需要的软件 安亭做网站公司做模型的网站有哪些内容 如何构建网站网站建设的征求意见稿 安徽注册公司网站西安学校部门定制网站建设公司 烟台网站主关键词扶沟县建设局网站 汕头网站制作网页英文网站接单做翻译 企业多语言网站开发dedecms网站首页 单位建设网站的请示宣武成都网站建设 视频背景网站网站上线后所要做的事情 如何建设cf提卡网站爱 做 网站吗 可以做长页海报的网站服装设计软件有哪些软件