当前位置: 首页 > news >正文 男女第一次做网站爱设计用哪些网站有哪些功能 news 2025/10/31 18:33:24 男女第一次做网站爱,设计用哪些网站有哪些功能,环保网站策划书,旅游网站开发毕业设计名称#xff1a;FPGA的音乐彩灯VHDL流水灯LED 软件#xff1a;Quartus 语言#xff1a;VHDL 代码功能#xff1a; #xff08;1#xff09;设计一彩灯控制电路#xff0c;按要求控制8路#xff08;彩灯由发光 二极管代替#xff0c;受实验箱限制#xff0c;多路同…名称FPGA的音乐彩灯VHDL流水灯LED 软件Quartus 语言VHDL 代码功能 1设计一彩灯控制电路按要求控制8路彩灯由发光 二极管代替受实验箱限制多路同样控制方法彩灯的亮灭。彩灯多种花样循环变换从左至右一个一个点亮至全亮然后从右至左一个一个熄灭至全灭从左右两边同时向中间点亮至全亮然后向两边逐个熄灭中间间隔一个点亮。 2可以控制彩灯变换的节奏快慢2个键控制四种节奏。 3加有清零开关暂停键。 4有音乐模块彩灯变换的同时伴有乐曲。 参数指标系统时钟20MHz,存放4/4拍简单曲子如梁祝釆茶舞曲至少一首。 演示视频FPGA的音乐彩灯VHDL流水灯LED花样节奏变化_Verilog/VHDL资源下载 FPGA代码资源下载网hdlcode.com 代码下载 FPGA的音乐彩灯VHDL流水灯LED花样节奏变化_Verilog/VHDL资源下载名称FPGA的音乐彩灯VHDL流水灯LED代码在文末付费下载软件Quartus语言VHDL代码功能1设计一彩灯控制电路按要求控制8路彩灯由发光 二极管代替受实验箱限制多路同样控制方法彩灯的亮灭。彩灯多种花样循环变换从左至右一个一个点亮至全亮然后从右至左一个一个熄灭至全灭从左右两边同时向中间点亮至全亮然后向两边逐个熄灭中间间隔一个点亮。2可以控制彩灯变换的节奏http://www.hdlcode.com/index.php?mhomecViewaindexaid203 部分代码展示 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY tonetab is PORT(index: in std_logic_vector(3 downto 0); tone:out std_logic_vector( 16 downto 0)); END; ARCHITECTURE one of tonetab is BEGIN search:PROCESS( index) BEGIN CASE index is--控制音调的预置数 WHEN 0000tone11111111111111111;--0 WHEN 0001tone10110101010110000;--中音 1 WHEN 0010tone10111101011111011;--中音 2 WHEN 0011tone11000100101111101;--中音 3 WHEN 0100tone11001000000100101;--中音4 WHEN 0101tone11001110001011000; --- 中音 5 WHEN 0110tone10100011100111000;--“ 中音 6 WHEN 0111tone11011000011100111;---中音 7 WHEN 1000tone11011010101011000;--一 高音 1 WHEN 1001tone11011110101111101;----高音 2 WHEN 1010tone11100010010111110;--“ 高音 3 WHEN 1011tone11100100000010010;--一高音4 WHEN 1100tone11100111000101100;--“ 高音 5 WHEN 1101tone11101001110011011;--“ 高音 6 WHEN 1110tone11101101010101100;--一 高高 1 when others null; END CASE; END PROCESS; END one; LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY speaker is PORT(clk: in std_logic; tone:in std_logic_vector( 16 downto 0);--17 spks:out std_logic); END speaker; ARCHITECTURE one of speaker is SIGNAL preclk,fullspks:std_logic; BEGIN PROCESS(clk,tone)---17位可预置计数器 VARIABLE count11:std_logic_vector(16 downto 0); BEGIN IF clkevent AND clk1 THEN IF count1111111111111111111 THEN--TONE〜11111111111111111 count11 :tone; fullspks1; ELSE count11:count111;fullspks0;END IF; END IF;END PROCESS; PROCESS(fullspks)--二分频 VARIABLE count2: std_logic; BEGIN IF fullspksevent AND fullspks1 THEN count2:not count2; IF count21 THEN spks1; ELSE spks0;END IF; END IF;END PROCESS;END;设计文档 设计文档.doc 1. 工程文件 2. 程序文件 3. 程序编译 4. RTL图 5. 仿真图 整体仿真图 分频模块 控制模块 显示模块 文章转载自: http://www.morning.cklld.cn.gov.cn.cklld.cn http://www.morning.pgkpt.cn.gov.cn.pgkpt.cn http://www.morning.jbtlf.cn.gov.cn.jbtlf.cn http://www.morning.gxfzrb.com.gov.cn.gxfzrb.com http://www.morning.zgdnd.cn.gov.cn.zgdnd.cn http://www.morning.jlktz.cn.gov.cn.jlktz.cn http://www.morning.kaweilu.com.gov.cn.kaweilu.com http://www.morning.yrjkp.cn.gov.cn.yrjkp.cn http://www.morning.wpmqq.cn.gov.cn.wpmqq.cn http://www.morning.yhyqg.cn.gov.cn.yhyqg.cn http://www.morning.lsxabc.com.gov.cn.lsxabc.com http://www.morning.frsbf.cn.gov.cn.frsbf.cn http://www.morning.cwtrl.cn.gov.cn.cwtrl.cn http://www.morning.mkyxp.cn.gov.cn.mkyxp.cn http://www.morning.kqhlm.cn.gov.cn.kqhlm.cn http://www.morning.rntyn.cn.gov.cn.rntyn.cn http://www.morning.nyqzz.cn.gov.cn.nyqzz.cn http://www.morning.sjli222.cn.gov.cn.sjli222.cn http://www.morning.cokcb.cn.gov.cn.cokcb.cn http://www.morning.wgbmj.cn.gov.cn.wgbmj.cn http://www.morning.zpqbh.cn.gov.cn.zpqbh.cn http://www.morning.mrcpy.cn.gov.cn.mrcpy.cn http://www.morning.zynjt.cn.gov.cn.zynjt.cn http://www.morning.wpcfm.cn.gov.cn.wpcfm.cn http://www.morning.xbdd.cn.gov.cn.xbdd.cn http://www.morning.slzkq.cn.gov.cn.slzkq.cn http://www.morning.skrxp.cn.gov.cn.skrxp.cn http://www.morning.sphft.cn.gov.cn.sphft.cn http://www.morning.fnxzk.cn.gov.cn.fnxzk.cn http://www.morning.rbjp.cn.gov.cn.rbjp.cn http://www.morning.qqrqb.cn.gov.cn.qqrqb.cn http://www.morning.chmkt.cn.gov.cn.chmkt.cn http://www.morning.kclkb.cn.gov.cn.kclkb.cn http://www.morning.jtqxs.cn.gov.cn.jtqxs.cn http://www.morning.ddzqx.cn.gov.cn.ddzqx.cn http://www.morning.bnrff.cn.gov.cn.bnrff.cn http://www.morning.lbjdx.cn.gov.cn.lbjdx.cn http://www.morning.ynwdk.cn.gov.cn.ynwdk.cn http://www.morning.mggwr.cn.gov.cn.mggwr.cn http://www.morning.mftdq.cn.gov.cn.mftdq.cn http://www.morning.sprbs.cn.gov.cn.sprbs.cn http://www.morning.fykqh.cn.gov.cn.fykqh.cn http://www.morning.llyjx.cn.gov.cn.llyjx.cn http://www.morning.pwsnr.cn.gov.cn.pwsnr.cn http://www.morning.qfnrx.cn.gov.cn.qfnrx.cn http://www.morning.mflhr.cn.gov.cn.mflhr.cn http://www.morning.xqbgm.cn.gov.cn.xqbgm.cn http://www.morning.rfpb.cn.gov.cn.rfpb.cn http://www.morning.nxbkw.cn.gov.cn.nxbkw.cn http://www.morning.brjq.cn.gov.cn.brjq.cn http://www.morning.srgsb.cn.gov.cn.srgsb.cn http://www.morning.dglszn.com.gov.cn.dglszn.com http://www.morning.fcxt.cn.gov.cn.fcxt.cn http://www.morning.lxngn.cn.gov.cn.lxngn.cn http://www.morning.lbgfz.cn.gov.cn.lbgfz.cn http://www.morning.daidudu.com.gov.cn.daidudu.com http://www.morning.ydmml.cn.gov.cn.ydmml.cn http://www.morning.sgwr.cn.gov.cn.sgwr.cn http://www.morning.lfpzs.cn.gov.cn.lfpzs.cn http://www.morning.fhqdb.cn.gov.cn.fhqdb.cn http://www.morning.kmwsz.cn.gov.cn.kmwsz.cn http://www.morning.xqbgm.cn.gov.cn.xqbgm.cn http://www.morning.rybr.cn.gov.cn.rybr.cn http://www.morning.rknjx.cn.gov.cn.rknjx.cn http://www.morning.jgttx.cn.gov.cn.jgttx.cn http://www.morning.jpfpc.cn.gov.cn.jpfpc.cn http://www.morning.nxtgb.cn.gov.cn.nxtgb.cn http://www.morning.prqdr.cn.gov.cn.prqdr.cn http://www.morning.yrctp.cn.gov.cn.yrctp.cn http://www.morning.gctgc.cn.gov.cn.gctgc.cn http://www.morning.ydwsg.cn.gov.cn.ydwsg.cn http://www.morning.mstbbs.com.gov.cn.mstbbs.com http://www.morning.wpcfh.cn.gov.cn.wpcfh.cn http://www.morning.zbqry.cn.gov.cn.zbqry.cn http://www.morning.sypzg.cn.gov.cn.sypzg.cn http://www.morning.xnwjt.cn.gov.cn.xnwjt.cn http://www.morning.hnkkm.cn.gov.cn.hnkkm.cn http://www.morning.xlztn.cn.gov.cn.xlztn.cn http://www.morning.bgdk.cn.gov.cn.bgdk.cn http://www.morning.tmfhx.cn.gov.cn.tmfhx.cn 查看全文 http://www.tj-hxxt.cn/news/265898.html 相关文章: 自己设计网站网页制作下载链接 中国怎么样做跨境网站潍坊网站建设一品网络小程序 广州商砼建站规范郑州科大医院 做微信广告网站有哪些内容营销型网站建设公司地址 买衣服的网站排行榜产品网络推广方式 成品超市网站wordpress情侣网站源码 建设银行企业版网站肥西县建设局官方网站 官方网站优化价格淘淘乐网站建设 沈阳做网站最好的公司小白测评做网站 有什么比较好的做简历的网站成都有哪些网站建设 网站建设名片设计网站关键词写在哪里 山东房和城乡建设厅网站首页科技网站小编 建立网站后台wordpress 去除index.php 招生网站建设的意义广州 网站建设 020 秒收的网站网页链接制作生成二维码 广东网站建设微信网站定制免费创造网站 建设部网站公示钦州公租房摇号查询wordpress编辑器格式 宣威做网站推广的公司电商主页设计 手机网站html5模版建了个网站百度上会有么 内蒙古网站seo优化空投注册送币网站怎么做 全国网站制作公司康体设备网站建设 资料网站怎么做婚庆公司取名大全集 网站域名怎么看西安做网站费用 网站建设的可行性要求自己的公众号 重庆高端品牌网站建设芜湖网站建设芜湖狼道 如何建立电子商务网站手机网站建设论文 做网站比较好的个人简历自我评价 做网站考什么赚钱杭州网站设计推荐柚米 网站验收珠海网站建设小程序 网站点击软件排名常州微网站