当前位置: 首页 > news >正文

自己建设的网站靠谱吗免费网页制作模板

自己建设的网站靠谱吗,免费网页制作模板,wordpress侧边栏目录菜单,惠普gen8可以做网站吗使用 CLOCK_DEDICATED_ROUTE 约束 CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM 或 PLL 时使 用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM 或 PLL 对必须布局在相同…
使用 CLOCK_DEDICATED_ROUTE 约束
CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM PLL 时使
用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM PLL 对必须布局在相同的时钟区
域中。
注释: 在使用 UltraScale 器件工作时,勿在端口直接驱动的网络上应用 CLOCK_DEDICATED_ROUTE 属性。相反,将 CLOCK_DEDICATED_ROUTE 属性应用到 IBUF
输出。
当从一个时钟区域中的时钟缓存驱动垂直相邻时钟区域中的 MMCM PLL 时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 BACKBONE UltraScale
件的 CLOCK_DEDICATED_ROUTE 设置为 SAME_CMT_COLUMN 。这可以防止实现错误,并确保时钟仅使用全局时钟资源进行布线。以下示例显示了在垂直相邻时钟
区域中驱动两个 PLL 的时钟缓存。
set_property CLOCK_DEDICATED_ROUTE SAME_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X0Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X0Y4 [get_cells PLLE3_ADV_inst_1]
当从时钟缓存驱动到不垂直相邻的其他时钟区域时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 FALSE
UltraScale 器件的 ANY_CMT_COLUMN 设置为 CLOCK_DEDICATED_ROUTE 。这可以防止实现错误,并确保时钟仅使用
全局时钟资源进行布线。以下示例和图显示了驱动与输入缓存不在同一时钟区域列上的两个 PLL BUFGCE
set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X1Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X1Y4 [get_cells PLLE3_ADV_inst_1]
http://www.tj-hxxt.cn/news/26389.html

相关文章:

  • 一个工厂做网站有用吗2345网址导航删除办法
  • 沈阳建设网站公司友情链接联盟
  • 在网站中动态效果怎么做百度热点榜单
  • 网站做哪些主题比较容易做免费建一个自己的网站
  • 建站网站知乎网易搜索引擎
  • 公司网站建设知乎ks刷粉网站推广马上刷
  • 网络公司排名最新排名沈阳seo网站关键词优化
  • 网站搭建多少钱广州seo全网营销
  • 潍坊网站制作报价营销宣传方式有哪些
  • 做网站bbs是什么意思所有的竞价托管公司
  • 关键字搜索网站怎么做关键词优化工具有哪些
  • 做网站协议书百度扫一扫识别图片
  • idc网站建设seo网站设计
  • 母婴网站建设初衷今日刚刚发生的新闻
  • 删除网站死链怎么提高关键词搜索排名
  • wordpress主页404牛排seo系统
  • 采招网是怎样做的网站晚上偷偷看b站软件推荐
  • 求个网站谢谢第三方关键词优化排名
  • 昆明电脑优化大师下载安装
  • 网站设计培训机构seo优化查询
  • 域名和网站建设费如何入帐2022年小学生新闻摘抄十条
  • 广告公司简介范文厦门谷歌seo公司
  • 邯郸网站草根站长工具
  • 电子商务网站建设方案中国职业培训在线官网
  • 电脑传奇游戏哪个好玩seo推广软件下载
  • 公司网站的推广方案英文网站seo
  • 做外贸的网站如何选择服务器绍兴seo
  • 万户网络做网站怎么样公司页面设计
  • 北京公司的网站建设软件开发app制作
  • 做设计用哪个素材网站网络营销都有哪些形式