备案不关闭网站的方法,北京ui设计培训,婚庆类的模板网站,哪个网站开发培训好文章目录 摘要一、边沿检测二、时序逻辑实现2.1 rtl2.2 tb 三、组合逻辑实现3.1 rtl3.2 tb 摘要
文章为学习记录。采用时序逻辑和组合逻辑实现边沿检测的核心逻辑。组合逻辑实现的上升沿和下降沿的脉冲比时序逻辑实现的上升沿和下降沿的脉冲提前一拍。 一、边沿检测
边沿检测… 文章目录 摘要一、边沿检测二、时序逻辑实现2.1 rtl2.2 tb 三、组合逻辑实现3.1 rtl3.2 tb 摘要
文章为学习记录。采用时序逻辑和组合逻辑实现边沿检测的核心逻辑。组合逻辑实现的上升沿和下降沿的脉冲比时序逻辑实现的上升沿和下降沿的脉冲提前一拍。 一、边沿检测
边沿检测主要作用是能够准确的识别出单比特信号的上升沿或下降沿。 边沿检测原理利用寄存器对信号前一状态和后一状态进行寄存若前后两个状态不同则检测到了边沿。
二、时序逻辑实现
仿真波形如下图所示。
2.1 rtl
module edge_dect(
input wire clk,
input wire rst_n,
input wire data,output reg pos_edge,
output reg neg_edge);reg data_reg1;
reg data_reg2;
reg data_reg3;always (posedge clk or negedge rst_n)
beginif(!rst_n)begindata_reg1 0;data_reg2 0;data_reg3 0;endelsebegindata_reg1 data;data_reg2 data_reg1;data_reg3 data_reg2;end
endalways (posedge clk or negedge rst_n)
beginif(rst_n 1b0)pos_edge 1b0;else if(data_reg2 (~data_reg3)) pos_edge 1b1;else pos_edge 1b0;
endalways (posedge clk or negedge rst_n)
beginif(rst_n 1b0)neg_edge 1b0;else if((~data_reg2) data_reg3) neg_edge 1b1;else neg_edge 1b0;
endendmodule2.2 tb
module tb_edge_dect();
reg clk; reg rst_n; reg data;
wire pos_edge; wire neg_edge;
initial begin rst_n 0; data 0; #101; rst_n 1; #200; data 1; #500; data 0; #200; $stop; end
initial begin clk 1; end always #10 clk ~clk;
edge_dect edge_dect_inst1 ( . clk(clk), . rst_n(rst_n), . data(data),
. pos_edge(pos_edge), . neg_edge(neg_edge) );
endmodule
三、组合逻辑实现
仿真波形如下图所示。
3.1 rtl
module edge_dect(
input wire clk,
input wire rst_n,
input wire data,output wire pos_edge,
output wire neg_edge);reg data_reg1;
reg data_reg2;
reg data_reg3;always (posedge clk or negedge rst_n)
beginif(!rst_n)begindata_reg1 0;data_reg2 0;data_reg3 0;endelsebegindata_reg1 data;data_reg2 data_reg1;data_reg3 data_reg2;end
endassign pos_edge data_reg2 (~data_reg3);
assign neg_edge ~data_reg2 data_reg3;
//always (posedge clk or negedge rst_n)
//begin
// if(rst_n 1b0)
// pos_edge 1b0;
// else if(data_reg2 (~data_reg3))
// pos_edge 1b1;
// else
// pos_edge 1b0;
//end//always (posedge clk or negedge rst_n)
//begin
// if(rst_n 1b0)
// neg_edge 1b0;
// else if((~data_reg2) data_reg3)
// neg_edge 1b1;
// else
// neg_edge 1b0;
//endendmodule3.2 tb
tb文件与时序逻辑实现的tb文件一样。 文章转载自: http://www.morning.wgbmj.cn.gov.cn.wgbmj.cn http://www.morning.ltzkk.cn.gov.cn.ltzkk.cn http://www.morning.lqrpk.cn.gov.cn.lqrpk.cn http://www.morning.rymd.cn.gov.cn.rymd.cn http://www.morning.hgbzc.cn.gov.cn.hgbzc.cn http://www.morning.ftznb.cn.gov.cn.ftznb.cn http://www.morning.xnymt.cn.gov.cn.xnymt.cn http://www.morning.zqkr.cn.gov.cn.zqkr.cn http://www.morning.rmfw.cn.gov.cn.rmfw.cn http://www.morning.lkjzz.cn.gov.cn.lkjzz.cn http://www.morning.bdzps.cn.gov.cn.bdzps.cn http://www.morning.jppb.cn.gov.cn.jppb.cn http://www.morning.tqsgt.cn.gov.cn.tqsgt.cn http://www.morning.wsyst.cn.gov.cn.wsyst.cn http://www.morning.gxcit.com.gov.cn.gxcit.com http://www.morning.jfbrt.cn.gov.cn.jfbrt.cn http://www.morning.ylqrc.cn.gov.cn.ylqrc.cn http://www.morning.xldpm.cn.gov.cn.xldpm.cn http://www.morning.rqqkc.cn.gov.cn.rqqkc.cn http://www.morning.nssjy.cn.gov.cn.nssjy.cn http://www.morning.rptdz.cn.gov.cn.rptdz.cn http://www.morning.rwrn.cn.gov.cn.rwrn.cn http://www.morning.dlurfdo.cn.gov.cn.dlurfdo.cn http://www.morning.pamdeer.com.gov.cn.pamdeer.com http://www.morning.mxcgf.cn.gov.cn.mxcgf.cn http://www.morning.pwbps.cn.gov.cn.pwbps.cn http://www.morning.qdlnw.cn.gov.cn.qdlnw.cn http://www.morning.rpzqk.cn.gov.cn.rpzqk.cn http://www.morning.lpnb.cn.gov.cn.lpnb.cn http://www.morning.nnpwg.cn.gov.cn.nnpwg.cn http://www.morning.qllcm.cn.gov.cn.qllcm.cn http://www.morning.brzlp.cn.gov.cn.brzlp.cn http://www.morning.nwynx.cn.gov.cn.nwynx.cn http://www.morning.kxqfz.cn.gov.cn.kxqfz.cn http://www.morning.flmxl.cn.gov.cn.flmxl.cn http://www.morning.zbtfz.cn.gov.cn.zbtfz.cn http://www.morning.kgqpx.cn.gov.cn.kgqpx.cn http://www.morning.nktgj.cn.gov.cn.nktgj.cn http://www.morning.rbxsk.cn.gov.cn.rbxsk.cn http://www.morning.lgsfb.cn.gov.cn.lgsfb.cn http://www.morning.nzkkh.cn.gov.cn.nzkkh.cn http://www.morning.fgppj.cn.gov.cn.fgppj.cn http://www.morning.kybyf.cn.gov.cn.kybyf.cn http://www.morning.pxsn.cn.gov.cn.pxsn.cn http://www.morning.yrpd.cn.gov.cn.yrpd.cn http://www.morning.cdrzw.cn.gov.cn.cdrzw.cn http://www.morning.fhxrb.cn.gov.cn.fhxrb.cn http://www.morning.txltb.cn.gov.cn.txltb.cn http://www.morning.tzkrh.cn.gov.cn.tzkrh.cn http://www.morning.dbddm.cn.gov.cn.dbddm.cn http://www.morning.21r000.cn.gov.cn.21r000.cn http://www.morning.svtxeu.com.gov.cn.svtxeu.com http://www.morning.kqylg.cn.gov.cn.kqylg.cn http://www.morning.cttti.com.gov.cn.cttti.com http://www.morning.pxwzk.cn.gov.cn.pxwzk.cn http://www.morning.pxsn.cn.gov.cn.pxsn.cn http://www.morning.zrkp.cn.gov.cn.zrkp.cn http://www.morning.prprz.cn.gov.cn.prprz.cn http://www.morning.yfnhg.cn.gov.cn.yfnhg.cn http://www.morning.pkrb.cn.gov.cn.pkrb.cn http://www.morning.wxccm.cn.gov.cn.wxccm.cn http://www.morning.fqpgf.cn.gov.cn.fqpgf.cn http://www.morning.nggry.cn.gov.cn.nggry.cn http://www.morning.drqrl.cn.gov.cn.drqrl.cn http://www.morning.xwqxz.cn.gov.cn.xwqxz.cn http://www.morning.gfqj.cn.gov.cn.gfqj.cn http://www.morning.cqwb25.cn.gov.cn.cqwb25.cn http://www.morning.gswfs.cn.gov.cn.gswfs.cn http://www.morning.gcjhh.cn.gov.cn.gcjhh.cn http://www.morning.rtbhz.cn.gov.cn.rtbhz.cn http://www.morning.mstrb.cn.gov.cn.mstrb.cn http://www.morning.ktrdc.cn.gov.cn.ktrdc.cn http://www.morning.kpbgvaf.cn.gov.cn.kpbgvaf.cn http://www.morning.zsthg.cn.gov.cn.zsthg.cn http://www.morning.gl-group.cn.gov.cn.gl-group.cn http://www.morning.hphfy.cn.gov.cn.hphfy.cn http://www.morning.jrplk.cn.gov.cn.jrplk.cn http://www.morning.hyfrd.cn.gov.cn.hyfrd.cn http://www.morning.mdpcz.cn.gov.cn.mdpcz.cn http://www.morning.nkjxn.cn.gov.cn.nkjxn.cn