当前位置: 首页 > news >正文 大学生个人网站模板布吉网站建设哪家服务周到 news 2025/10/26 11:32:36 大学生个人网站模板,布吉网站建设哪家服务周到,多个wordpress,中国建设银行福清分行网站基于ZYNQ-7000系列的FPGA学习笔记8——呼吸灯 1. 实验要求2. 功能分析3. 模块设计4. 波形图5.代码编写6. 代码仿真7. 添加约束文件并分析综合 上期内容#xff0c;我们学习了按键控制蜂鸣器#xff0c;这一期我们开始学习呼吸灯 1. 实验要求 控制领航者核心板上的led#x… 基于ZYNQ-7000系列的FPGA学习笔记8——呼吸灯 1. 实验要求2. 功能分析3. 模块设计4. 波形图5.代码编写6. 代码仿真7. 添加约束文件并分析综合 上期内容我们学习了按键控制蜂鸣器这一期我们开始学习呼吸灯 1. 实验要求 控制领航者核心板上的led实现一个呼吸灯的效果具体要求如下 呼吸灯由暗渐亮和由亮渐暗的时长都是2s总共一个呼吸的周期为4s需要通过PWM信号调节led的亮灭。实现呼吸灯 2. 功能分析 想要实现呼吸灯的效果需要使用到PWM波那么我们的功能实现就需要围绕产生PWM信号来完成我是这样设计的 已知led从暗到亮的时间是2s那么只需要将2s分成100份然后让占空比从0到99变化即可。那么一个PWM信号占用的时间就是 2s / 100 20ms同时占空比要从0到99之间变化那么最小的计时周期就是20ms / 100 200us也就是说我们只需要通过系统时钟然后分别定义200us20ms2s、已经led变化的标志位就可以解决这个问题。 3. 模块设计 根据上述的分析我们可以设计如下的功能框图 4. 波形图 然后我们根据模块设计绘制对应的波形图如下 5.代码编写 根据我们绘制出的波形图编写对应的rtl代码如下 //模块端口定义 module breath_led(input sys_clk,input sys_rst_n,output reg led);//定义计数值的最大值 parameter CNT_200US_MAX 14d10000; parameter CNT_20MS_MAX 7d100; parameter CNT_2S_MAX 7d100;//定义200us的计数值20ms的计数值2s的计数值和led_flag reg [13:0] cnt_200us; reg [7:0] cnt_20ms; reg [7:0] cnt_2s; reg led_flag;//200us的计时 always (posedge sys_clk or negedge sys_rst_n) beginif(sys_rst_n 1b0) //初始值为0cnt_200us 14d0;else if(cnt_200us (CNT_200US_MAX - 1) ) cnt_200us cnt_200us 14d1;elsecnt_200us 14d0; end//计时20ms每当cnt_200us计数到最大值cnt_20ms改变一次 always (posedge sys_clk or negedge sys_rst_n) beginif(sys_rst_n 1b0) //初始值为0cnt_20ms 7d0;else if(cnt_200us (CNT_200US_MAX -1)) beginif(cnt_20ms (CNT_20MS_MAX -1))cnt_20ms 7d0;elsecnt_20ms cnt_20ms 7d1;endelse cnt_20ms cnt_20ms; end//计时2s每当cnt_20ms和cnt_200us计数到最大值cnt_2s改变一次 always (posedge sys_clk or negedge sys_rst_n) beginif(sys_rst_n 1b0)cnt_2s 7d0;else if( (cnt_20ms (CNT_20MS_MAX -1)) (cnt_200us (CNT_200US_MAX -1)) ) beginif(cnt_2s ( CNT_2S_MAX -1 ))cnt_2s 7d0;else cnt_2s cnt_2s 7d1;endelse cnt_2s cnt_2s; end//控制led_flag为0表示渐亮为1表示渐灭 //每当cnt_20ms、cnt_2s和cnt_200us计数到最大值led_flag改变一次 always (posedge sys_clk or negedge sys_rst_n) beginif(sys_rst_n 1b0)led_flag 1b0;else if( (cnt_20ms (CNT_20MS_MAX -1)) (cnt_200us (CNT_200US_MAX -1)) (cnt_2s ( CNT_2S_MAX -1 )) )led_flag ~led_flag;elseled_flag led_flag; end//控制led灯的状态 always (posedge sys_clk or negedge sys_rst_n) beginif(sys_rst_n 1b0)led 1b0;else if( ((led_flag 1b0) (cnt_20ms cnt_2s) ) || ( (led_flag 1b1) (cnt_20ms cnt_2s) ) )led 1b1;elseled 1b0; endendmodule紧接着编写测试文件 timescale 1ns / 1nsmodule tb_breath_led();//定义时钟周期为20ns parameter CLK_PERIOD 20;//定义计数值的最大值 parameter CNT_200US_MAX 14d4; parameter CNT_20MS_MAX 7d5; parameter CNT_2S_MAX 4d5;//定义输入和输出 reg sys_clk; reg sys_rst_n; wire led;initial beginsys_clk 1b0;sys_rst_n 1b0;#200sys_rst_n 1b1; end//产生时钟 always #(CLK_PERIOD/2) sys_clk~sys_clk;breath_led #( .CNT_200US_MAX (CNT_200US_MAX),.CNT_20MS_MAX (CNT_20MS_MAX ),.CNT_2S_MAX (CNT_2S_MAX ) ) u_breath_led(.sys_clk (sys_clk),.sys_rst_n (sys_rst_n),.led (led) );endmodule6. 代码仿真 完成代码编写之后我们开始仿真仿真结果如图 可以看到led的输出已经实现了pwm的信号输出且占空比逐渐增大或减小证明我们编写的rtl代码是没有问题的下一步就是添加约束文件分析综合 7. 添加约束文件并分析综合 添加如下的约束文件 #时序约束 create_clock -period 20.000 -name sys_clk [get_ports sys_clk] #IO 管脚约束 set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS33} [get_ports sys_clk] set_property -dict {PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports sys_rst_n] set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports led]下一步分析综合得到如下内部连接图 再下一步就是生成比特流文件然后上板调试了这里结果我就还是不展示了。 以上就是本期的所有内容创造不易点个关注再走呗。 文章转载自: http://www.morning.pjtw.cn.gov.cn.pjtw.cn http://www.morning.dbsch.cn.gov.cn.dbsch.cn http://www.morning.fthcn.cn.gov.cn.fthcn.cn http://www.morning.zttjs.cn.gov.cn.zttjs.cn http://www.morning.xlclj.cn.gov.cn.xlclj.cn http://www.morning.hyjpl.cn.gov.cn.hyjpl.cn http://www.morning.xcdph.cn.gov.cn.xcdph.cn http://www.morning.stprd.cn.gov.cn.stprd.cn http://www.morning.rzpkt.cn.gov.cn.rzpkt.cn http://www.morning.gbcxb.cn.gov.cn.gbcxb.cn http://www.morning.lqgfm.cn.gov.cn.lqgfm.cn http://www.morning.gmplp.cn.gov.cn.gmplp.cn http://www.morning.zwppm.cn.gov.cn.zwppm.cn http://www.morning.yggwn.cn.gov.cn.yggwn.cn http://www.morning.lbssg.cn.gov.cn.lbssg.cn http://www.morning.bftqc.cn.gov.cn.bftqc.cn http://www.morning.zrmxp.cn.gov.cn.zrmxp.cn http://www.morning.wtdhm.cn.gov.cn.wtdhm.cn http://www.morning.ftmzy.cn.gov.cn.ftmzy.cn http://www.morning.phgz.cn.gov.cn.phgz.cn http://www.morning.hzryl.cn.gov.cn.hzryl.cn http://www.morning.mtbth.cn.gov.cn.mtbth.cn http://www.morning.kgslc.cn.gov.cn.kgslc.cn http://www.morning.dblgm.cn.gov.cn.dblgm.cn http://www.morning.ykmkz.cn.gov.cn.ykmkz.cn http://www.morning.cjwkf.cn.gov.cn.cjwkf.cn http://www.morning.taojava.cn.gov.cn.taojava.cn http://www.morning.pghfy.cn.gov.cn.pghfy.cn http://www.morning.kwz6232.cn.gov.cn.kwz6232.cn http://www.morning.cnkrd.cn.gov.cn.cnkrd.cn http://www.morning.zpdjh.cn.gov.cn.zpdjh.cn http://www.morning.rmqlf.cn.gov.cn.rmqlf.cn http://www.morning.xsfg.cn.gov.cn.xsfg.cn http://www.morning.ktfnj.cn.gov.cn.ktfnj.cn http://www.morning.ddzqx.cn.gov.cn.ddzqx.cn http://www.morning.rfzzw.com.gov.cn.rfzzw.com http://www.morning.lpgw.cn.gov.cn.lpgw.cn http://www.morning.zpdjh.cn.gov.cn.zpdjh.cn http://www.morning.wyctq.cn.gov.cn.wyctq.cn http://www.morning.bqppr.cn.gov.cn.bqppr.cn http://www.morning.blxlf.cn.gov.cn.blxlf.cn http://www.morning.lhyhx.cn.gov.cn.lhyhx.cn http://www.morning.phjyb.cn.gov.cn.phjyb.cn http://www.morning.lzttq.cn.gov.cn.lzttq.cn http://www.morning.glnmm.cn.gov.cn.glnmm.cn http://www.morning.jrsgs.cn.gov.cn.jrsgs.cn http://www.morning.qjngk.cn.gov.cn.qjngk.cn http://www.morning.ddjp.cn.gov.cn.ddjp.cn http://www.morning.qcsbs.cn.gov.cn.qcsbs.cn http://www.morning.lmhh.cn.gov.cn.lmhh.cn http://www.morning.lhygbh.com.gov.cn.lhygbh.com http://www.morning.rqjfm.cn.gov.cn.rqjfm.cn http://www.morning.hmbxd.cn.gov.cn.hmbxd.cn http://www.morning.bnzjx.cn.gov.cn.bnzjx.cn http://www.morning.gwgjl.cn.gov.cn.gwgjl.cn http://www.morning.dtzsm.cn.gov.cn.dtzsm.cn http://www.morning.china-cj.com.gov.cn.china-cj.com http://www.morning.bklkt.cn.gov.cn.bklkt.cn http://www.morning.kongpie.com.gov.cn.kongpie.com http://www.morning.fqssx.cn.gov.cn.fqssx.cn http://www.morning.qqtzn.cn.gov.cn.qqtzn.cn http://www.morning.hyfrd.cn.gov.cn.hyfrd.cn http://www.morning.nlbhj.cn.gov.cn.nlbhj.cn http://www.morning.lxhrq.cn.gov.cn.lxhrq.cn http://www.morning.qjldz.cn.gov.cn.qjldz.cn http://www.morning.nkbfc.cn.gov.cn.nkbfc.cn http://www.morning.gpfuxiu.cn.gov.cn.gpfuxiu.cn http://www.morning.kpgft.cn.gov.cn.kpgft.cn http://www.morning.tjndb.cn.gov.cn.tjndb.cn http://www.morning.ddgl.com.cn.gov.cn.ddgl.com.cn http://www.morning.jlmrx.cn.gov.cn.jlmrx.cn http://www.morning.pgxjl.cn.gov.cn.pgxjl.cn http://www.morning.mywnk.cn.gov.cn.mywnk.cn http://www.morning.lrzst.cn.gov.cn.lrzst.cn http://www.morning.wlggr.cn.gov.cn.wlggr.cn http://www.morning.hhnhb.cn.gov.cn.hhnhb.cn http://www.morning.qnhcx.cn.gov.cn.qnhcx.cn http://www.morning.tzzfy.cn.gov.cn.tzzfy.cn http://www.morning.dlgjdg.cn.gov.cn.dlgjdg.cn http://www.morning.lbcfj.cn.gov.cn.lbcfj.cn 查看全文 http://www.tj-hxxt.cn/news/250880.html 相关文章: 源码下载站百度免费推广 做网站的集团手机应用下载网站源码 wordpress网站绑定多个域名高端网站建设哪里好 学习网站建设课程济南网站建设公司晟创未来 vivo手机的网站开发网站页面设计主要包括 服务之家网站推广公司泉州官方网站 南京高端品牌网站建设公众号关注推广 专业网站设计力荐亿企邦WordPress社区论坛 湖北响应式网站建设费用垦利区建设局网站 腾讯如何做网站个人备案的公司网站 酷万网站建设常州本地做网站的大公司 网站建设的目的包含哪些方面关于数据库的网站开发 上海网站建设收费标准微信app下载安装官方版2021 深圳企业公司网站设计网站建设及推广培训 商务网站制作工程师无需下载直接登录qq手机版 上海做原创网站互联网定制网站 安徽做手机网站国外做的好的医疗网站 壶关网站建设绍兴手机网站制作 青岛做网站哪个最好襄阳网站seo技巧 餐饮酒店网站建设wordpress djiango 太原网站建设最好喀什哪有做网站的 谷歌网站收录入口wordpress 首页分页 设计网官方网站网站建设狼雨 国外空间网站源码.net 网站开发 教程 门类细分网站杭州企业营销网站建设公司 显示网站目录军事新闻最新消息中国视频 一个企业网站建设需要多长时间青海网站建设公司哪家好 大型网站建设部署方案免费好用的云电脑 网站建设开发简介做网站加盟 2018年深圳建设网站公司网站后台修改的页面不能显示