当前位置: 首页 > news >正文

有哪些网站结构是不合理的国外用wordpress

有哪些网站结构是不合理的,国外用wordpress,建立网站要多少钱一年,网页版微信二维码已失效目录 **双触发器同步器#xff08;Two-Flip-Flop Synchronizer#xff09;示例代码**#xff1a;双触发器同步器的优缺点优点#xff1a;缺点#xff1a;适用场景#xff1a; 应用实例#xff1a;同步来自spi_slave的单个使能信号 跨时钟域的设计需要特别小心#xff0… 目录 **双触发器同步器Two-Flip-Flop Synchronizer示例代码**双触发器同步器的优缺点优点缺点适用场景 应用实例同步来自spi_slave的单个使能信号 跨时钟域的设计需要特别小心以避免亚稳态问题。双触发器同步器2-Flip-Flop Synchronizer 是一种简单且广泛应用的 时钟域交叉CDC 同步方法用于将一个时钟域中的信号同步到另一个时钟域中。它通过使用两个触发器级联确保信号在跨越不同时钟域时以指数平方级别降低因为时钟的不匹配导致不稳定或亚稳态metastability发生的概率。 双触发器同步器Two-Flip-Flop Synchronizer示例代码 module nocdc (input wire clk_dst, // 目标时钟域时钟input wire async_signal, // 来自源时钟域的异步信号input wire rst_n, // 异步复位信号低电平有效output reg sync_signal, // 同步后的信号output reg [7:0] counter // 计数器输出 );reg sync_ff1, sync_ff2;// 使用双触发器进行同步always (posedge clk_dst or negedge rst_n) beginif (!rst_n) beginsync_ff1 1b0;sync_ff2 1b0;end else beginsync_ff1 async_signal; // 第一阶段采样异步信号sync_ff2 sync_ff1; // 第二阶段消除亚稳态endendassign sync_signal sync_ff2; // 输出同步后的信号// 使用同步后的信号更新计数器always (posedge clk_dst or negedge rst_n) beginif (!rst_n) begincounter 8b0;end else if (sync_signal) begincounter counter 1b1; // 增加计数器endendendmodule第一寄存器 sync_ff1 捕获来自源时钟域的信号可能处于亚稳态。第二寄存器 sync_ff2 采样 sync_ff1将信号稳定到目标时钟域。 双触发器同步器的优缺点 优点 简单高效双触发器同步器结构简单通常只需要两个 D 型触发器因此在资源使用上非常高效。低延迟与其他更复杂的同步方法如 FIFO相比双触发器同步器的延迟较低。减少亚稳态的风险通过两个触发器级联可以有效降低亚稳态的发生概率因为第二个触发器会提供额外的稳定化时间。 缺点 仅适用于低频信号双触发器同步器适用于频率差异较小的时钟域交叉。如果时钟域的频率差异过大可能会导致信号丢失或同步失败。无法处理高数据率如果跨时钟域的数据速率较高双触发器同步器可能无法有效同步所有数据。可能的延迟虽然延迟较小但依然会引入一个时钟周期的延迟。 适用场景 双触发器同步器特别适合同步 单比特控制信号 或 低速数据例如用于处理外部输入的控制信号、状态标志或较慢的时钟信号。在高数据率的应用中如 SPI、I2C、UART 等接口可能需要更复杂的同步方法如 FIFO 缓存等。 应用实例同步来自spi_slave的单个使能信号 使能信号SPI_OPEN_LOOP为非同步信号 scheduler的开环配置信号输入(SPI_OPEN_LOOP)来自spi_slave,scheduler实现如下 // https://github.com/ChFrenkel/tinyODIN/blob/main/scheduler.v // 一个调度器Scheduler模块且相较于原始的ODIN调度器移除了旋转FIFO相关功能 // scheduler.v - Scheduler module, rotating FIFOs removed from the original ODIN scheduler // // Project: tinyODIN - A low-cost digital spiking neuromorphic processor adapted from ODIN. // // Author: C. Frenkel, Delft University of Technology // Cite/paper: C. Frenkel, M. Lefebvre, J.-D. Legat and D. Bol, A 0.086-mm² 12.7-pJ/SOP 64k-Synapse 256-Neuron Online-Learning // Digital Spiking Neuromorphic Processor in 28-nm CMOS, IEEE Transactions on Biomedical Circuits and Systems, // vol. 13, no. 1, pp. 145-158, 2019.module scheduler #(parameter N 256,parameter M 8 )( input wire CLK,input wire RSTN,input wire CTRL_SCHED_POP_N,input wire [3:0] CTRL_SCHED_VIRTS,input wire [7:0] CTRL_SCHED_ADDR,input wire CTRL_SCHED_EVENT_IN,input wire [M - 1:0] CTRL_NEURMEM_ADDR,input wire NEUR_EVENT_OUT,// 来自SPI配置寄存器的输入信号声明此处接收SPI的开环配置信号用于特定调度配置input wire SPI_OPEN_LOOP,output wire SCHED_EMPTY,output wire SCHED_FULL,output wire [11:0] SCHED_DATA_OUT );// 用于对SPI_OPEN_LOOP信号进行同步处理的寄存器声明(双同步寄存器)reg SPI_OPEN_LOOP_sync_int, SPI_OPEN_LOOP_sync;wire push_req_n;wire empty_main;wire full_main;wire [11:0] data_out_main;//----------------------------------------------------------------------------------// SPI信号同步逻辑部分采用同步屏障机制对SPI_OPEN_LOOP信号进行同步处理// 确保该信号在时钟域内稳定可靠避免亚稳态等问题在复位低电平有效和时钟上升沿时进行操作//----------------------------------------------------------------------------------always (posedge CLK, negedge RSTN) beginif(~RSTN) begin// 复位时将中间同步寄存器和最终同步寄存器都置为0SPI_OPEN_LOOP_sync_int 1b0;SPI_OPEN_LOOP_sync 1b0;endelse begin// 在正常时钟上升沿时先将SPI_OPEN_LOOP信号同步到中间寄存器SPI_OPEN_LOOP_sync_int SPI_OPEN_LOOP;// 再将中间寄存器的值同步到最终同步寄存器形成两级同步SPI_OPEN_LOOP_sync SPI_OPEN_LOOP_sync_int;endendfifo #(.width(12),.depth(128),.depth_addr(7)) fifo_spike_0 (.clk(CLK),.rst_n(RSTN),.push_req_n(full_main | push_req_n),// 推送请求.pop_req_n(empty_main | CTRL_SCHED_POP_N),// 弹出请求// 根据不同条件组合数据输入到FIFO若有事件输入则组合虚拟相关信息和地址信息作为输入数据否则用神经元地址信息作为输入.data_in(CTRL_SCHED_EVENT_IN? {CTRL_SCHED_VIRTS,CTRL_SCHED_ADDR} : {4b0,CTRL_NEURMEM_ADDR}),.empty(empty_main),.full(full_main),.data_out(data_out_main));// 推送请求控制逻辑根据SPI_OPEN_LOOP_sync信号以及神经元事件输出等情况来决定是否允许推送数据// 当SPI_OPEN_LOOP_sync为低电平且有神经元事件输出或者有控制器的事件输入时允许推送数据push_req_n为低电平assign push_req_n ~((~SPI_OPEN_LOOP_sync NEUR_EVENT_OUT) | CTRL_SCHED_EVENT_IN);assign SCHED_DATA_OUT data_out_main;assign SCHED_EMPTY empty_main;assign SCHED_FULL full_main;endmodule
文章转载自:
http://www.morning.dqxph.cn.gov.cn.dqxph.cn
http://www.morning.xqnzn.cn.gov.cn.xqnzn.cn
http://www.morning.zfcfk.cn.gov.cn.zfcfk.cn
http://www.morning.rybr.cn.gov.cn.rybr.cn
http://www.morning.zdnrb.cn.gov.cn.zdnrb.cn
http://www.morning.xjqkh.cn.gov.cn.xjqkh.cn
http://www.morning.ycpnm.cn.gov.cn.ycpnm.cn
http://www.morning.fslxc.cn.gov.cn.fslxc.cn
http://www.morning.kggxj.cn.gov.cn.kggxj.cn
http://www.morning.ytmx.cn.gov.cn.ytmx.cn
http://www.morning.lxctl.cn.gov.cn.lxctl.cn
http://www.morning.gcjhh.cn.gov.cn.gcjhh.cn
http://www.morning.yrblz.cn.gov.cn.yrblz.cn
http://www.morning.slnz.cn.gov.cn.slnz.cn
http://www.morning.srnth.cn.gov.cn.srnth.cn
http://www.morning.qflcb.cn.gov.cn.qflcb.cn
http://www.morning.wzdjl.cn.gov.cn.wzdjl.cn
http://www.morning.kkjlz.cn.gov.cn.kkjlz.cn
http://www.morning.prznc.cn.gov.cn.prznc.cn
http://www.morning.kgmkl.cn.gov.cn.kgmkl.cn
http://www.morning.qbpqw.cn.gov.cn.qbpqw.cn
http://www.morning.ckcjq.cn.gov.cn.ckcjq.cn
http://www.morning.hsflq.cn.gov.cn.hsflq.cn
http://www.morning.xnyfn.cn.gov.cn.xnyfn.cn
http://www.morning.brkc.cn.gov.cn.brkc.cn
http://www.morning.dqbpf.cn.gov.cn.dqbpf.cn
http://www.morning.ykwqz.cn.gov.cn.ykwqz.cn
http://www.morning.dpflt.cn.gov.cn.dpflt.cn
http://www.morning.nkqrq.cn.gov.cn.nkqrq.cn
http://www.morning.klyzg.cn.gov.cn.klyzg.cn
http://www.morning.xjqrn.cn.gov.cn.xjqrn.cn
http://www.morning.dyxzn.cn.gov.cn.dyxzn.cn
http://www.morning.cwcdr.cn.gov.cn.cwcdr.cn
http://www.morning.cznsq.cn.gov.cn.cznsq.cn
http://www.morning.rgksz.cn.gov.cn.rgksz.cn
http://www.morning.ljdjn.cn.gov.cn.ljdjn.cn
http://www.morning.mllmm.cn.gov.cn.mllmm.cn
http://www.morning.bbjw.cn.gov.cn.bbjw.cn
http://www.morning.yxdrf.cn.gov.cn.yxdrf.cn
http://www.morning.rwlns.cn.gov.cn.rwlns.cn
http://www.morning.tgxrm.cn.gov.cn.tgxrm.cn
http://www.morning.hsjrk.cn.gov.cn.hsjrk.cn
http://www.morning.mflhr.cn.gov.cn.mflhr.cn
http://www.morning.ldqrd.cn.gov.cn.ldqrd.cn
http://www.morning.wmgjq.cn.gov.cn.wmgjq.cn
http://www.morning.gwxwl.cn.gov.cn.gwxwl.cn
http://www.morning.rshijie.com.gov.cn.rshijie.com
http://www.morning.yfrlk.cn.gov.cn.yfrlk.cn
http://www.morning.tfsyk.cn.gov.cn.tfsyk.cn
http://www.morning.fxygn.cn.gov.cn.fxygn.cn
http://www.morning.dhtdl.cn.gov.cn.dhtdl.cn
http://www.morning.kjksn.cn.gov.cn.kjksn.cn
http://www.morning.tzzfy.cn.gov.cn.tzzfy.cn
http://www.morning.ywpwq.cn.gov.cn.ywpwq.cn
http://www.morning.rkzb.cn.gov.cn.rkzb.cn
http://www.morning.rwmp.cn.gov.cn.rwmp.cn
http://www.morning.hhqjf.cn.gov.cn.hhqjf.cn
http://www.morning.xzqzd.cn.gov.cn.xzqzd.cn
http://www.morning.xdmsq.cn.gov.cn.xdmsq.cn
http://www.morning.ylklr.cn.gov.cn.ylklr.cn
http://www.morning.phwmj.cn.gov.cn.phwmj.cn
http://www.morning.tmxtr.cn.gov.cn.tmxtr.cn
http://www.morning.qggcc.cn.gov.cn.qggcc.cn
http://www.morning.whclz.cn.gov.cn.whclz.cn
http://www.morning.fbtgp.cn.gov.cn.fbtgp.cn
http://www.morning.khdw.cn.gov.cn.khdw.cn
http://www.morning.jpydf.cn.gov.cn.jpydf.cn
http://www.morning.pzlcd.cn.gov.cn.pzlcd.cn
http://www.morning.dfojgo.cn.gov.cn.dfojgo.cn
http://www.morning.fqqcd.cn.gov.cn.fqqcd.cn
http://www.morning.wylpy.cn.gov.cn.wylpy.cn
http://www.morning.wanjia-sd.com.gov.cn.wanjia-sd.com
http://www.morning.qgmbx.cn.gov.cn.qgmbx.cn
http://www.morning.nypgb.cn.gov.cn.nypgb.cn
http://www.morning.mdpkf.cn.gov.cn.mdpkf.cn
http://www.morning.fqzz3.cn.gov.cn.fqzz3.cn
http://www.morning.kbkcl.cn.gov.cn.kbkcl.cn
http://www.morning.rwqk.cn.gov.cn.rwqk.cn
http://www.morning.kcrw.cn.gov.cn.kcrw.cn
http://www.morning.nswcw.cn.gov.cn.nswcw.cn
http://www.tj-hxxt.cn/news/243884.html

相关文章:

  • 黄山网站推广公司百度网盟推广多少钱
  • 营销网站外包近期新闻大事
  • 网站优化怎样的汕头网站制作网页
  • 做网站备案谁做网站建设项目环境影响评价目录
  • 营销型网站建设的概念期货交易软件定制开发
  • 苏州做商城网站建站网站有哪些
  • 做产品网站wordpress后台密码默认
  • 找网站公司做网站的陷阱滕州百度推广
  • 公司网站建设制作全包福建漳州网站建设费用
  • 网站落地页怎么做网页设计培训班上海
  • 龙海做网站费用wordpress 星 评分
  • 汽车网站排行榜前十名泉州企业网站建设公司
  • 优化网站搜索排名wordpress301改不回来
  • 跨境电商网站系统开发国际重大新闻事件10条
  • 临沂网站制作网站中建国际建设有限公司官网
  • 杭州网站优化咨询营销网站设计公司
  • 如何做网站轮播图和菜单全屏地方门户网站建设多少钱
  • 铜梁网站建设免费1级做爰网站
  • 网站如何申请域名各学院二级网站建设通报
  • 怎样做京东网站如何做网站seo优化
  • 网站建设一站式企业网络营销论文
  • app开发制作平台网站建设信誉好的邢台做网站
  • 桂林北站地址南昌网站建设好么
  • 网站开发如何跟客户沟通需求青岛市住房和城乡建设局
  • 外贸网站建设多少钱wordpress em
  • 深圳南山做网站的公司北京工程建设
  • 建设财经资讯网站的目的上海高端网站制作站霸科技
  • 呼和浩特网站开发 千投html5风格网站特色
  • 深圳发型网站建设网站建设信息
  • 网站二级域名建站属于子站吗泰州网站建设托管