当前位置: 首页 > news >正文

制作网站设计的总结如何注册公司官网

制作网站设计的总结,如何注册公司官网,网站做全局搜索,建设局特种作业网站1.原理 并串转化是指的是完成串行传输和并行传输两种传输方式之间的转换的技术#xff0c;通过移位寄存器可以实现串并转换。 串转并#xff0c;将数据移位保存在寄存器中#xff0c;再将寄存器的数值同时输出#xff1b; 并转串#xff0c;将数据先进行移位#xff0…1.原理 并串转化是指的是完成串行传输和并行传输两种传输方式之间的转换的技术通过移位寄存器可以实现串并转换。 串转并将数据移位保存在寄存器中再将寄存器的数值同时输出 并转串将数据先进行移位再讲寄存器中的最高位或者最低位的数据串行输出。 关键点 1串并转换的关键是在于触发器链通过依次移位输出最终结果。 2串并转换的思想是在设计中平衡面积和速度的要求并行速度快串行面积小。 3串并转换常常在接口中出现将高速并行转为串行数据输出或将低速的串行数据转为并行数据后高速计算。 2.牛客网练习实例1 VL62 序列发生器 题目题解(29)讨论(26)排行 中等  通过率20.64% 描述 编写一个模块实现循环输出序列001011。 模块的接口信号图如下 要求使用Verilog HDL实现并编写testbench验证模块的功能。 输入描述 clk时钟信号 rst_n复位信号低电平有效 输出描述 data输出数据 本题可以用状态机来写但是有点杀鸡用牛刀的操作实际上可以理解为一个并行输入转换成串行输出MSB输出。先移位然后再输出MSB位 timescale 1ns/1nsmodule sequence_generator(input clk,input rst_n,output reg data);reg [5:0 ] data_left_reg ;always (posedge clk or negedge rst_n) begin if (!rst_n) begin data_left_reg 6b001_011 ;endelse data_left_reg {data_left_reg[4 :0], data_left_reg[5]} ; //循环向左移动 endalways (posedge clk or negedge rst_n) beginif(!rst_n) begindata 1d0 ;endelse data data_left_reg[5] ;end endmodule 3牛客网练习实例2 ——并串转换 VL63 并串转换 题目题解(17)讨论(24)排行 中等  通过率10.78% 描述 题目描述     设计一个模块进行并串转换要求每四位d输为转到一位dout输出输出valid_in表示此时的输入有效 信号示意图 clk为时钟 rst为低电平复位 valid_in 表示输入有效 d 信号输入 dout 信号输出 波形示意图 输入描述 clk为时钟 rst为低电平复位 d 信号输入 输出描述 dout 信号输出 valid_in 表示输入有效 timescale 1ns/1ns module huawei5(input wire clk ,input wire rst ,input wire [3:0]d ,output wire valid_in ,output wire dout);//*************code***********// reg [1 : 0] cnt ; reg valid_in_reg ; reg [3:0 ]data_out ; wire rst_n ; assign rst_n ~rst ; always (posedge clk or posedge rst_n) begin if (rst_n )cnt 2b0 ;else if (cnt 2d3)cnt 2d0 ;else cnt cnt 1 ; end always (posedge clk or posedge rst_n)begin if (rst_n) valid_in_reg 1b0 ;else if (cnt 2d3) valid_in_reg 1b1 ;else valid_in_reg 1b0; endalways(posedge clk or posedge rst_n) begin if (rst_n) data_out 4b0000 ;else if (cnt 3 )data_out d ; else data_out data_out 1; end assign valid_in valid_in_reg ; assign dout data_out[3] ; //*************code***********//endmodule
http://www.tj-hxxt.cn/news/218613.html

相关文章:

  • 汉川市城乡建设局网站阿里云网站建设方案
  • 论文网站建设的参考文献珠海做网站价格
  • 常用的电子商务网站开发技术学校网站推广策划书
  • 国外jquery网站视频背景做网站背景
  • 做的好的办公家具网站网站建设制作设计公司
  • 招标网站大全如何建设网站
  • 域名更换网站网站建设平台有哪些 谢谢平台建站
  • 中国邮政做特产的网站seo搜索引擎优化排名
  • 对于做网站有什么要求职业教育网站建设方案
  • 黄埔网站建设优化seo济南做网站互联网公司
  • 广州口碑好的网站建设定制wordpress sql自定义菜单
  • wordpress生成网站模版如何做区块链网站
  • 做网站有哪些程序wordpress 速度变慢
  • wordpress多网站整合在一个后台wordpress菜单插件
  • 青岛网站制作公司哪家正规重庆网站建设红衫
  • 网站空间控制面板珠海网站制作推广公司
  • 怎么查询网站是否收录营销策划书范文案例
  • 阿里云建设网站好不好电商平台模板
  • 企业自建站注册域名费用
  • 怎样更换网站cms深圳网站搭建专业公司
  • 凡科建网站十大网络平台有哪些
  • wordpress中搜索页面模板关键词优化分析工具
  • 企智网站建设死链接对网站的影响
  • 石家庄市住房城乡建设局网站天津网站app建设
  • 上海网网站建设长沙哪个公司做网站好
  • 怎样做商城网站的推广logo图片设计
  • 合肥建站免费模板dw建设网站的代码模板
  • 法律问题咨询哪个网站做的好网页设计作品聚散
  • 300网站建设开发一个网站一般需要多少钱
  • 网站服务器如何管理关键词seo服务