当前位置: 首页 > news >正文

wordpress建立php站点地图软件开发流程解读

wordpress建立php站点地图,软件开发流程解读,网站建设制作公司哪家,全渠道营销成功案例实验目的 PL_LED0 和 PL_LED1 连接到 ZYNQ 的 PL 端#xff0c;PL_LED0 和 PL_LED1循环往复产生流水灯的效果#xff0c;流水间隔时间为 0.5s。 原理图 程序设计 本次实验是需要实现两个LED的循环熄灭点亮#xff0c;时间间隔是0.5S,对时间间隔的控制使用计数器来完成。本…实验目的 PL_LED0 和 PL_LED1 连接到 ZYNQ 的 PL 端PL_LED0 和 PL_LED1循环往复产生流水灯的效果流水间隔时间为 0.5s。 原理图 程序设计 本次实验是需要实现两个LED的循环熄灭点亮时间间隔是0.5S,对时间间隔的控制使用计数器来完成。本次实验需要使用系统时钟并且添加系统复位。所以可以得到下面的模块示意图。 板载的系统时钟是50MHZ周期是20ns 我们需要的时间间隔是0.5s 计数器需要的时钟周期数是0.5S/20ns 25000000 所以计数器最大计数到25000000-1就是0.5S 仿真代码tb_flow_led.v timescale 1ns / 1ns // 仿真单位 / 仿真时间module tb_flow_led();//声明了一个名为tb_flow_led的测试平台Testbench模块parameter CLK_PERIOD 20;//系统时钟是50MHZ 周期是20nsreg sys_clk; reg sys_rst_n;wire [1:0] led;//信号初始化 initial beginsys_clk 1b0;sys_rst_n 1b0;#200 //表示延迟 200 个时间单位sys_rst_n 1b1; end//产生时钟 always #(CLK_PERIOD/2) sys_clk ~sys_clk;//例化待测设计 flow_led u_flow_led(.sys_clk(sys_clk),.sys_rst_n(sys_rst_n),.led(led) ); endmodule仿真 新建工程 查看RTL原理图 约束管脚 ctrl S 保存 这个可以看到约束文件 添加周期约束 将时钟周期设置为 20ns对应 50MHz 的频率。 # 创建时钟周期约束 create_clock -period 20.000 -name sys_clk [get_ports sys_clk]# IO 引脚约束 set_property PACKAGE_PIN U18 [get_ports sys_clk] set_property IOSTANDARD LVCMOS33 [get_ports sys_clk] set_property PACKAGE_PIN N16 [get_ports sys_rst_n] set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n] set_property PACKAGE_PIN L15 [get_ports {led[1]}] set_property PACKAGE_PIN H15 [get_ports {led[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] 生成bit文件 查看LED初始值 下载验证 先连接好线再上电 下载后确实可以看到LED交替闪烁 总结 1、主要还是熟悉流程和编写代码 完成比完美更加重要 学习来源正点原子
http://www.tj-hxxt.cn/news/137691.html

相关文章:

  • 国外做彩票网站违法吗中国工程建设监理网站
  • 做色流网站服务器网络营销战略规划
  • 合肥网站优化seo网站点击量设计
  • 吴江住房和城乡建设局官方网站有没有个人做的网站赚流量费
  • 做网站一条龙提供网站建设教学视频
  • 食品行业网站建设如何让搜索引擎不收录网站
  • 领导不愿意做招聘网站怎么办无锡网站维护
  • 注册公司网站模版怎样在安装wordpress
  • 上海市网站开发网站优化外包推荐
  • 重庆建站模板源码建设公司和建筑公司有什么区别
  • 北京住房与城乡建设厅网站首页网站加黑链
  • 电影网站模板html北京 网站建设 招标信息
  • 能搜任何网站的浏览器解除网站被拦截的方法
  • 东莞网站排名情感视频素材网站
  • 苏州公司做变更网站搜索引擎优化的定义
  • 公司网站建设应注意什么200元自助网站建设
  • 做视频网站对服务器要去西安做公司网站
  • 长沙网站制作公司怎么做爱网站最新发布址
  • 中国著名的网站建设公司东营市建设监理协会网站
  • html5自适应网站源码WordPress指定IP访问
  • 网站策划方案1500字wordpress theme framework
  • 大石桥网站漂亮的html静态页面
  • 登封网站关键词优化软件网站建设哪种好
  • 网站推广策划方案大数据做外贸网站挣钱吗
  • 福建省龙岩市建设培训中心网站企业在网站建设上的不足
  • 如何免费建设公司网站企业网站的发展历史
  • 广州17做网站萧山seo
  • 网站怎么做熊掌号wordpress文库
  • 中国建站平台万网建站流程
  • 前端怎么做自己的博客网站工商局注册官网入口