当前位置: 首页 > news >正文

如何快速推广一个网站郑州百度seo

如何快速推广一个网站,郑州百度seo,汽车业务网站开发公司,大连网站建设多少钱DC对Verilog和SystemVerilog语言的支持 设计语言用哪种?Design Compiler对二者的支持简单的fsm电路测试测试结果对比写在最后 设计语言用哪种? 直接抛出结论:先有电路,后为描述。设计端而言,没有语言的高低好坏&#…

DC对Verilog和SystemVerilog语言的支持

  • 设计语言用哪种?
  • Design Compiler对二者的支持
  • 简单的fsm电路测试
  • 测试结果对比
  • 写在最后

设计语言用哪种?

  1. 直接抛出结论:先有电路,后为描述
  2. 设计端而言,没有语言的高低好坏,只有描述的精准与否。本文的实验结果很好的说明了这一点。

Design Compiler对二者的支持

  1. 我们在Design Compiler中读入命令有这样的选项,支持包括v和sv在内的三种主流硬件描述语言。
    在这里插入图片描述

简单的fsm电路测试

  1. 分别给出一个简单的FSM电路的V代码和SV代码。并基于SAED32nm学习用工艺库来做DCT的实现。
    代码如下

module fsm(clk,rst_n,x,y
);
input  clk;
input  rst_n;
input  x;
output y;parameter A = 3'd0,B = 3'd1,C = 3'd2,D = 3'd3,E = 3'd4;reg [2:0] cur_state,nxt_state;always @ (posedge clk or negedge rst_n) beginif (!rst_n) begincur_state <= A;endelse begincur_state <= nxt_state;end
endalways @(*) beginif (!rst_n) beginnxt_state = A;endelse begincase (cur_state) A : if (x) nxt_state = C;else nxt_state = B;B : if (x) nxt_state = D;else nxt_state = B;C : if (x) nxt_state = C;else nxt_state = E;D : if (x) nxt_state = C;else nxt_state = E;E : if (x) nxt_state = D;else nxt_state = B;default: nxt_state = A;endcaseend
endassign y = (cur_state == D) | (cur_state == E);endmodule
module fsm(clk,rst_n,x,y
);
input logic clk;
input logic rst_n;
input logic x;
output logic y;typedef enum logic [2:0] {A,B,C,D,E} State;State cur_state,nxt_state;always_ff @ (posedge clk or negedge rst_n) beginif (!rst_n) begincur_state <= A;endelse begincur_state <= nxt_state;end
endalways_comb beginif (!rst_n) beginnxt_state = A;endelse begincase (cur_state) A : if (x) nxt_state = C;else nxt_state = B;B : if (x) nxt_state = D;else nxt_state = B;C : if (x) nxt_state = C;else nxt_state = E;D : if (x) nxt_state = C;else nxt_state = E;E : if (x) nxt_state = D;else nxt_state = B;default: nxt_state = A;endcaseend
endassign y = (cur_state == D) | (cur_state == E);endmodule

测试结果对比

  1. 我们对二者进行相同的综合环境设置并读入设计
    请添加图片描述请添加图片描述
  2. 分析结果如下:
    sv电路图结果如图所示:
    请添加图片描述
    v电路图描述结果如下图所示:
    请添加图片描述

写在最后

  1. 从上一节的结果来看,综合工具DC对两种语言的支持都是比较完美的,因此还是回归那句话,先有电路,后为描述
http://www.tj-hxxt.cn/news/125568.html

相关文章:

  • 全屏自适应网站模板成都百度推广公司电话
  • 网站做app的软件搜索引擎优化的流程是什么
  • 动态网站开发什么技术好seo和sem是什么
  • 网站流水怎么做下载百度语音导航地图安装
  • 怎么在网站里做关键词优化福州百度关键词排名
  • 二级建造师报名时间2022年官网自动优化句子的软件
  • 云南企业网站建设有限公司南宁网
  • 网站策划的具体内容是什么网络优化工程师主要负责什么工作
  • 做婚宴的网站有哪些企业培训内容包括哪些内容
  • 谷歌企业网站seo会计培训班需要学多长时间
  • 做物流的都有哪些网站百度一下首页网址
  • 如何做网站的主页淘客推广
  • 个人怎么做网站排名优化长沙sem培训
  • 定州三公司网站seo服务合同
  • 分类信息网站建设方案陕西网站推广公司
  • 隐藏网站的wordpress属性网页设计用什么软件做
  • 企业联系电话优化网站建设
  • 十大免费网站推广平台seo网站整站优化
  • 拍拍贷app官网下载久久seo正规吗
  • 辽宁最好的男科医院关键词优化公司如何选择
  • 长沙旅游攻略最佳路线seo排名工具有哪些
  • 做网站源代码需要买吗北京seo关键词优化外包
  • 网站开发建设方案的主要内容包括商城小程序
  • 网站建设开发方式包括哪些方面seo关键词优化技巧
  • 产品推广的网站怎么做谷歌seo推广公司
  • 珠宝网站建设的主要方式杭州优化seo公司
  • 专题网站建设解决方案无锡seo公司找哪家好
  • 网站审查元素 可做代码seo关键词排名查询
  • 江门市网站建设高质量外链
  • 给一个网站seo霸屏软件