当前位置: 首页 > news >正文

网络建设公司哪家好如何做seo

网络建设公司哪家好,如何做seo,哈尔滨网站推广优化公司,网络营销的四种策略专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 这是一个典型的米利型状态机。三段式即可解决。 米利型状态机:即输出不仅和当前状态有关,也和输入有关。 其中ST0,ST1,ST3的…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

这是一个典型的米利型状态机。三段式即可解决。

米利型状态机:即输出不仅和当前状态有关,也和输入有关。 其中ST0,ST1,ST3的输出可以化简,化简后和C无关。 但是ST2的输出,需要判断输入C。

`timescale 1ns/1nsmodule seq_circuit(input                C   ,input                clk ,input                rst_n,output   wire        Y   
);parameter ST0 = 2'b00 ; parameter ST1 = 2'b01 ; parameter ST2 = 2'b10 ; parameter ST3 = 2'b11 ; reg [1:0] cur_state ; reg [1:0] nxt_state ; reg Y_r ; always @ (posedge clk or negedge rst_n) begin if (!rst_n) cur_state <= ST0 ; else cur_state <= nxt_state ; end always @ (*) begin case (cur_state) ST0 : begin if (C == 1'b0) nxt_state <= ST0 ; else nxt_state <= ST1 ; end ST1 : begin if (C == 1'b0) nxt_state <= ST3 ; else nxt_state <= ST1 ; end ST2 : begin if (C == 1'b0) nxt_state <= ST0 ; else nxt_state <= ST2 ; end ST3 : begin if (C == 1'b0) nxt_state <= ST3 ; else nxt_state <= ST2 ; end endcase endalways @ (*) begin case (cur_state) ST0 : begin Y_r <= 1'b0 ; end ST1 : begin Y_r <= 1'b0 ; end ST2 : begin if (C == 1'b0) Y_r <= 1'b0 ; else Y_r <= 1'b1 ; endST3 : begin Y_r <= 1'b1 ; end endcaseendassign Y = Y_r ;endmodule
http://www.tj-hxxt.cn/news/121147.html

相关文章:

  • seo优化软件免费版深圳百度推广排名优化
  • django做网站快吗百度客服
  • seo网站建设哪家专业如何制作网站和网页
  • 做网站与不做网站的区别奶茶网络营销策划方案
  • 政府门户网站群建设方案seo优化软件大全
  • 纯html5 网站重庆企业seo
  • 宁波网站公司哪里好怎样在百度上做广告
  • 制作外贸网站公司浏览器下载安装2022最新版
  • 怎么学做淘宝电商网站吗培训机构需要什么资质
  • 丰田车营销网站建设的纲要计划书真正免费的网站建站平台运营
  • 长沙教育类网站建设魔贝课凡seo
  • 河南省新闻出版学校广西seo公司
  • b2b网站建设注意事项怎么推广网址
  • 怎么做专门卖二手衣服的网站广州高端网站建设公司
  • 浦城县规划建设和旅游局网站网站广告调词软件
  • 郑州网站制作-中国互联2021最近比较火的营销事件
  • 校园网站怎么做淘宝关键词排名优化技巧
  • 泉州网站建设方案优化郑州网站制作推广公司
  • 网站做多长时间才有流量合肥优化
  • 成都网站建设服务商seo查询是什么
  • 提供手机网站开发营销类网站
  • php如何制作网站域名注册 阿里云
  • 用wordpress做的网站有哪些太原seo软件
  • 做恋爱方面的网站百度代理公司怎么样
  • 网站做适配手机要多久网络营销推广系统
  • 用wordpress案例附子seo教程
  • wordpress 数据库名贵济南seo外包公司
  • 天津专业网站制作设计佛山全市核酸检测
  • 网站制作公司制作网站学it一年的学费大概是多少
  • dede后台网站地图怎么做刚刚中国突然宣布